From https://github.com/archlinux/svntogit-community * branch packages/python-etesync -> FETCH_HEAD * [new branch] packages/python-etesync -> community/packages/python-etesync Cloning into 'python-etesync'... done. :: Synchronizing package databases... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 76 130k 76 99k 0 0 295k 0 --:--:-- --:--:-- --:--:-- 294k 100 130k 100 130k 0 0 386k 0 --:--:-- --:--:-- --:--:-- 386k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 4 8549k 4 378k 0 0 371k 0 0:00:22 0:00:01 0:00:21 371k 40 8549k 40 3459k 0 0 1846k 0 0:00:04 0:00:01 0:00:03 1846k 79 8549k 79 6830k 0 0 2373k 0 0:00:03 0:00:02 0:00:01 2373k 100 8549k 100 8549k 0 0 2652k 0 0:00:03 0:00:03 --:--:-- 2652k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 143k 100 143k 0 0 814k 0 --:--:-- --:--:-- --:--:-- 818k :: Starting full system upgrade... there is nothing to do ==> Making package: python-etesync 0.12.1-4 (Sat Sep 7 20:53:48 2024) ==> Checking runtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (21) python-apipkg-3.0.1-3 python-chardet-5.2.0-1 python-iniconfig-2.0.0-4 python-ply-3.11-12 python-appdirs-1.4.4-8 python-asn1crypto-1.5.1-2 python-cffi-1.15.1-3 python-cryptography-41.0.2-1 python-dateutil-2.8.2-5 python-furl-2.1.3-4 python-idna-3.4-3 python-orderedmultidict-1.0.1-10 python-packaging-23.1-1 python-peewee-3.16.2-2 python-py-1.11.0-4 python-pyasn1-0.4.8-8 python-pycparser-2.21-5 python-pyparsing-3.0.9-3 python-pytz-2023.3-1 python-requests-2.28.2-4 python-vobject-0.9.6.1-11 Total Download Size: 3.92 MiB Total Installed Size: 22.72 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 17486 100 17486 0 0 3828k 0 --:--:-- --:--:-- --:--:-- 4269k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 218k 100 218k 0 0 24.2M 0 --:--:-- --:--:-- --:--:-- 26.6M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 106k 100 106k 0 0 78.0M 0 --:--:-- --:--:-- --:--:-- 104M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 230k 100 230k 0 0 110M 0 --:--:-- --:--:-- --:--:-- 224M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 275k 100 275k 0 0 112M 0 --:--:-- --:--:-- --:--:-- 134M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 972k 100 972k 0 0 87.2M 0 --:--:-- --:--:-- --:--:-- 95.0M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 325k 100 325k 0 0 119M 0 --:--:-- --:--:-- --:--:-- 158M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 28633 100 28633 0 0 5643k 0 --:--:-- --:--:-- --:--:-- 6990k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 51143 100 51143 0 0 23.2M 0 --:--:-- --:--:-- --:--:-- 24.3M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 95344 100 95344 0 0 67.7M 0 --:--:-- --:--:-- --:--:-- 90.9M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 95467 100 95467 0 0 19.3M 0 --:--:-- --:--:-- --:--:-- 22.7M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 467k 100 467k 0 0 60.7M 0 --:--:-- --:--:-- --:--:-- 65.2M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 17631 100 17631 0 0 4753k 0 --:--:-- --:--:-- --:--:-- 5739k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 14196 100 14196 0 0 3764k 0 --:--:-- --:--:-- --:--:-- 4621k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 205k 100 205k 0 0 81.0M 0 --:--:-- --:--:-- --:--:-- 100M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 157k 100 157k 0 0 28.3M 0 --:--:-- --:--:-- --:--:-- 30.7M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 202k 100 202k 0 0 100M 0 --:--:-- --:--:-- --:--:-- 198M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 48335 100 48335 0 0 23.7M 0 --:--:-- --:--:-- --:--:-- 46.0M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 257k 100 257k 0 0 74.1M 0 --:--:-- --:--:-- --:--:-- 83.8M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 119k 100 119k 0 0 19.0M 0 --:--:-- --:--:-- --:--:-- 19.4M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 110k 100 110k 0 0 10.1M 0 --:--:-- --:--:-- --:--:-- 10.7M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing python-appdirs... installing python-asn1crypto... installing python-ply... installing python-pycparser... installing python-cffi... installing python-cryptography... installing python-dateutil... installing python-orderedmultidict... installing python-furl... installing python-idna... installing python-packaging... installing python-peewee... Optional dependencies for python-peewee python-psycopg2: for PostgreSQL database support python-pymysql: for MySQL database support installing python-apipkg... installing python-iniconfig... installing python-py... Optional dependencies for python-py python-pytest: for py.test module installing python-pyasn1... installing python-pyparsing... Optional dependencies for python-pyparsing python-railroad-diagrams: for generating Railroad Diagrams python-jinja: for generating Railroad Diagrams installing python-pytz... installing python-chardet... installing python-requests... Optional dependencies for python-requests python-pysocks: SOCKS proxy support installing python-vobject... :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Checking buildtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (15) python-autocommand-2.2.2-4 python-fastjsonschema-2.18.0-1 python-inflect-7.0.0-1 python-jaraco.context-4.3.0-3 python-jaraco.functools-3.8.0-1 python-jaraco.text-3.11.1-3 python-more-itertools-10.0.1-1 python-ordered-set-4.1.0-4 python-platformdirs-3.9.1-1 python-pydantic-1.10.9-1 python-tomli-2.0.1-3 python-trove-classifiers-2023.7.6-1 python-typing_extensions-4.7.1-1 python-validate-pyproject-0.13-1 python-setuptools-1:68.0.0-1 Total Download Size: 3.18 MiB Total Installed Size: 15.20 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 99050 100 99050 0 0 18.8M 0 --:--:-- --:--:-- --:--:-- 23.6M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 16619 100 16619 0 0 3853k 0 --:--:-- --:--:-- --:--:-- 4057k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 11682 100 11682 0 0 2730k 0 --:--:-- --:--:-- --:--:-- 2852k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 22922 100 22922 0 0 5083k 0 --:--:-- --:--:-- --:--:-- 5596k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 69151 100 69151 0 0 14.6M 0 --:--:-- --:--:-- --:--:-- 16.4M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 1968k 100 1968k 0 0 92.9M 0 --:--:-- --:--:-- --:--:-- 96.1M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 78871 100 78871 0 0 13.7M 0 --:--:-- --:--:-- --:--:-- 15.0M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 21013 100 21013 0 0 3918k 0 --:--:-- --:--:-- --:--:-- 4104k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 16181 100 16181 0 0 3119k 0 --:--:-- --:--:-- --:--:-- 3160k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 31703 100 31703 0 0 5968k 0 --:--:-- --:--:-- --:--:-- 6191k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 28235 100 28235 0 0 6353k 0 --:--:-- --:--:-- --:--:-- 6893k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 51142 100 51142 0 0 11.0M 0 --:--:-- --:--:-- --:--:-- 12.1M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 15210 100 15210 0 0 3460k 0 --:--:-- --:--:-- --:--:-- 3713k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 73796 100 73796 0 0 15.2M 0 --:--:-- --:--:-- --:--:-- 17.5M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 767k 100 767k 0 0 71.1M 0 --:--:-- --:--:-- --:--:-- 74.9M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing python-more-itertools... installing python-jaraco.functools... installing python-jaraco.context... installing python-autocommand... installing python-typing_extensions... installing python-pydantic... Optional dependencies for python-pydantic python-dotenv: for .env file support python-email-validator: for email validation installing python-inflect... installing python-jaraco.text... installing python-ordered-set... installing python-platformdirs... installing python-tomli... installing python-fastjsonschema... installing python-trove-classifiers... installing python-validate-pyproject... installing python-setuptools... :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Retrieving sources... -> Downloading etesync-0.12.1.tar.gz... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 20414 100 20414 0 0 814k 0 --:--:-- --:--:-- --:--:-- 814k ==> WARNING: Skipping verification of source file PGP signatures. ==> Validating source files with sha512sums... etesync-0.12.1.tar.gz ... Passed ==> Validating source files with b2sums... etesync-0.12.1.tar.gz ... Passed ==> Extracting sources... -> Extracting etesync-0.12.1.tar.gz with bsdtar ==> Starting prepare()... renamed 'etesync-0.12.1' -> 'python-etesync-0.12.1' ==> Starting build()... running build running build_py creating build creating build/lib creating build/lib/etesync copying etesync/service.py -> build/lib/etesync copying etesync/pim.py -> build/lib/etesync copying etesync/exceptions.py -> build/lib/etesync copying etesync/db.py -> build/lib/etesync copying etesync/crypto.py -> build/lib/etesync copying etesync/cache.py -> build/lib/etesync copying etesync/api.py -> build/lib/etesync copying etesync/_version.py -> build/lib/etesync copying etesync/__init__.py -> build/lib/etesync running egg_info writing etesync.egg-info/PKG-INFO writing dependency_links to etesync.egg-info/dependency_links.txt writing requirements to etesync.egg-info/requires.txt writing top-level names to etesync.egg-info/top_level.txt reading manifest file 'etesync.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'etesync.egg-info/SOURCES.txt' ==> Entering fakeroot environment... ==> Starting package()... running install /usr/lib/python3.11/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr creating /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib creating /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11 creating /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages creating /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/__init__.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/_version.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/api.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/cache.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/crypto.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/db.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/exceptions.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/pim.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync copying build/lib/etesync/service.py -> /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/__init__.py to __init__.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/_version.py to _version.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/api.py to api.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/cache.py to cache.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/crypto.py to crypto.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/db.py to db.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/exceptions.py to exceptions.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/pim.py to pim.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync/service.py to service.cpython-311.pyc writing byte-compilation script '/tmp/archlinux-ci/tmpj79ydryt.py' /usr/sbin/python /tmp/archlinux-ci/tmpj79ydryt.py removing /tmp/archlinux-ci/tmpj79ydryt.py running install_egg_info running egg_info writing etesync.egg-info/PKG-INFO writing dependency_links to etesync.egg-info/dependency_links.txt writing requirements to etesync.egg-info/requires.txt writing top-level names to etesync.egg-info/top_level.txt reading manifest file 'etesync.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' adding license file 'LICENSE' writing manifest file 'etesync.egg-info/SOURCES.txt' Copying etesync.egg-info to /tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/lib/python3.11/site-packages/etesync-0.12.1-py3.11.egg-info running install_scripts install: creating directory '/tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/share' install: creating directory '/tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/share/doc' install: creating directory '/tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/share/doc/python-etesync' 'README.md' -> '/tmp/archlinux-ci/python-etesync-archlinuxrb-build-ZKE6l4Vk/python-etesync/trunk/pkg/python-etesync/usr/share/doc/python-etesync/README.md' ==> Tidying install... -> Removing libtool files... -> Purging unwanted files... -> Removing static library files... -> Stripping unneeded symbols from binaries and libraries... -> Compressing man and info pages... ==> Checking for packaging issues... ==> Creating package "python-etesync"... -> Generating .PKGINFO file... -> Generating .BUILDINFO file... -> Generating .MTREE file... -> Compressing package... ==> Leaving fakeroot environment. ==> Finished making: python-etesync 0.12.1-4 (Sat Sep 7 20:53:54 2024)