Diff of the two buildlogs: -- --- b1/build.log 2023-05-01 07:38:11.195670490 +0000 +++ b2/build.log 2023-05-01 08:31:12.447471134 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Sun Apr 30 17:42:54 -12 2023 -I: pbuilder-time-stamp: 1682919774 +I: Current time: Mon Jun 3 04:01:18 +14 2024 +I: pbuilder-time-stamp: 1717336878 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration @@ -16,7 +16,7 @@ I: copying [./nextpnr_0.4.orig.tar.gz] I: copying [./nextpnr_0.4-1.debian.tar.xz] I: Extracting source -gpgv: Signature made Thu Nov 17 08:36:34 2022 -12 +gpgv: Signature made Fri Nov 18 10:36:34 2022 +14 gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./nextpnr_0.4-1.dsc: no acceptable signature found @@ -25,135 +25,167 @@ dpkg-source: info: unpacking nextpnr_0.4-1.debian.tar.xz I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/1358626/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/D01_modify_environment starting +debug: Running on ionos5-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Jun 3 04:01 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=15' - DISTRIBUTION='bookworm' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="15" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.2.15(1)-release' + BUILDDIR=/build + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16' + DIRSTACK=() + DISTRIBUTION=bookworm + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='117c7fe21ae04b76935a826b76cca795' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='1358626' - PS1='# ' - PS2='> ' + INVOCATION_ID=7b553e88237a45579124d75435c86f3c + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=1623709 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.TsOhawkd/pbuilderrc_lPEY --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.TsOhawkd/b1 --logfile b1/build.log nextpnr_0.4-1.dsc' - SUDO_GID='110' - SUDO_UID='105' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://78.137.99.97:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.TsOhawkd/pbuilderrc_uWtU --distribution bookworm --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.TsOhawkd/b2 --logfile b2/build.log --extrapackages usrmerge nextpnr_0.4-1.dsc' + SUDO_GID=110 + SUDO_UID=105 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://85.184.249.68:3128 I: uname -a - Linux ionos1-amd64 5.10.0-21-amd64 #1 SMP Debian 5.10.162-1 (2023-01-21) x86_64 GNU/Linux + Linux i-capture-the-hostname 6.1.0-0.deb11.6-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.15-1~bpo11+1 (2023-03-16) x86_64 GNU/Linux I: ls -l /bin total 5632 - -rwxr-xr-x 1 root root 1265648 Apr 23 09:23 bash - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bunzip2 - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bzcat - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff - -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep - -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep - -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep - -rwxr-xr-x 3 root root 39224 Sep 18 2022 bzip2 - -rwxr-xr-x 1 root root 14568 Sep 18 2022 bzip2recover - lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore - -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore - -rwxr-xr-x 1 root root 44016 Sep 20 2022 cat - -rwxr-xr-x 1 root root 68656 Sep 20 2022 chgrp - -rwxr-xr-x 1 root root 64496 Sep 20 2022 chmod - -rwxr-xr-x 1 root root 72752 Sep 20 2022 chown - -rwxr-xr-x 1 root root 151152 Sep 20 2022 cp - -rwxr-xr-x 1 root root 125640 Jan 5 01:20 dash - -rwxr-xr-x 1 root root 121904 Sep 20 2022 date - -rwxr-xr-x 1 root root 89240 Sep 20 2022 dd - -rwxr-xr-x 1 root root 102200 Sep 20 2022 df - -rwxr-xr-x 1 root root 151344 Sep 20 2022 dir - -rwxr-xr-x 1 root root 88656 Mar 22 22:02 dmesg - lrwxrwxrwx 1 root root 8 Dec 19 01:33 dnsdomainname -> hostname - lrwxrwxrwx 1 root root 8 Dec 19 01:33 domainname -> hostname - -rwxr-xr-x 1 root root 43856 Sep 20 2022 echo - -rwxr-xr-x 1 root root 41 Jan 24 02:43 egrep - -rwxr-xr-x 1 root root 35664 Sep 20 2022 false - -rwxr-xr-x 1 root root 41 Jan 24 02:43 fgrep - -rwxr-xr-x 1 root root 85600 Mar 22 22:02 findmnt - -rwsr-xr-x 1 root root 35128 Mar 22 20:35 fusermount - -rwxr-xr-x 1 root root 203152 Jan 24 02:43 grep - -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip - -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe - -rwxr-xr-x 1 root root 98136 Apr 9 2022 gzip - -rwxr-xr-x 1 root root 22680 Dec 19 01:33 hostname - -rwxr-xr-x 1 root root 72824 Sep 20 2022 ln - -rwxr-xr-x 1 root root 53024 Mar 23 00:40 login - -rwxr-xr-x 1 root root 151344 Sep 20 2022 ls - -rwxr-xr-x 1 root root 207168 Mar 22 22:02 lsblk - -rwxr-xr-x 1 root root 97552 Sep 20 2022 mkdir - -rwxr-xr-x 1 root root 72912 Sep 20 2022 mknod - -rwxr-xr-x 1 root root 43952 Sep 20 2022 mktemp - -rwxr-xr-x 1 root root 59712 Mar 22 22:02 more - -rwsr-xr-x 1 root root 59704 Mar 22 22:02 mount - -rwxr-xr-x 1 root root 18744 Mar 22 22:02 mountpoint - -rwxr-xr-x 1 root root 142968 Sep 20 2022 mv - lrwxrwxrwx 1 root root 8 Dec 19 01:33 nisdomainname -> hostname - lrwxrwxrwx 1 root root 14 Apr 2 18:25 pidof -> /sbin/killall5 - -rwxr-xr-x 1 root root 43952 Sep 20 2022 pwd - lrwxrwxrwx 1 root root 4 Apr 23 09:23 rbash -> bash - -rwxr-xr-x 1 root root 52112 Sep 20 2022 readlink - -rwxr-xr-x 1 root root 72752 Sep 20 2022 rm - -rwxr-xr-x 1 root root 56240 Sep 20 2022 rmdir - -rwxr-xr-x 1 root root 27560 Nov 2 04:31 run-parts - -rwxr-xr-x 1 root root 126424 Jan 5 07:55 sed - lrwxrwxrwx 1 root root 4 Jan 5 01:20 sh -> dash - -rwxr-xr-x 1 root root 43888 Sep 20 2022 sleep - -rwxr-xr-x 1 root root 85008 Sep 20 2022 stty - -rwsr-xr-x 1 root root 72000 Mar 22 22:02 su - -rwxr-xr-x 1 root root 39824 Sep 20 2022 sync - -rwxr-xr-x 1 root root 531984 Apr 6 02:25 tar - -rwxr-xr-x 1 root root 14520 Nov 2 04:31 tempfile - -rwxr-xr-x 1 root root 109616 Sep 20 2022 touch - -rwxr-xr-x 1 root root 35664 Sep 20 2022 true - -rwxr-xr-x 1 root root 14568 Mar 22 20:35 ulockmgr_server - -rwsr-xr-x 1 root root 35128 Mar 22 22:02 umount - -rwxr-xr-x 1 root root 43888 Sep 20 2022 uname - -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress - -rwxr-xr-x 1 root root 151344 Sep 20 2022 vdir - -rwxr-xr-x 1 root root 72024 Mar 22 22:02 wdctl - lrwxrwxrwx 1 root root 8 Dec 19 01:33 ypdomainname -> hostname - -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat - -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp - -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff - -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep - -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep - -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce - -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep - -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless - -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore - -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew -I: user script /srv/workspace/pbuilder/1358626/tmp/hooks/D02_print_environment finished + -rwxr-xr-x 1 root root 1265648 Apr 24 2023 bash + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bunzip2 + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzcat + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzcmp -> bzdiff + -rwxr-xr-x 1 root root 2225 Sep 19 2022 bzdiff + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzegrep -> bzgrep + -rwxr-xr-x 1 root root 4893 Nov 28 2021 bzexe + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzfgrep -> bzgrep + -rwxr-xr-x 1 root root 3775 Sep 19 2022 bzgrep + -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzip2 + -rwxr-xr-x 1 root root 14568 Sep 19 2022 bzip2recover + lrwxrwxrwx 1 root root 6 Sep 19 2022 bzless -> bzmore + -rwxr-xr-x 1 root root 1297 Sep 19 2022 bzmore + -rwxr-xr-x 1 root root 44016 Sep 21 2022 cat + -rwxr-xr-x 1 root root 68656 Sep 21 2022 chgrp + -rwxr-xr-x 1 root root 64496 Sep 21 2022 chmod + -rwxr-xr-x 1 root root 72752 Sep 21 2022 chown + -rwxr-xr-x 1 root root 151152 Sep 21 2022 cp + -rwxr-xr-x 1 root root 125640 Jan 6 2023 dash + -rwxr-xr-x 1 root root 121904 Sep 21 2022 date + -rwxr-xr-x 1 root root 89240 Sep 21 2022 dd + -rwxr-xr-x 1 root root 102200 Sep 21 2022 df + -rwxr-xr-x 1 root root 151344 Sep 21 2022 dir + -rwxr-xr-x 1 root root 88656 Mar 24 2023 dmesg + lrwxrwxrwx 1 root root 8 Dec 20 2022 dnsdomainname -> hostname + lrwxrwxrwx 1 root root 8 Dec 20 2022 domainname -> hostname + -rwxr-xr-x 1 root root 43856 Sep 21 2022 echo + -rwxr-xr-x 1 root root 41 Jan 25 2023 egrep + -rwxr-xr-x 1 root root 35664 Sep 21 2022 false + -rwxr-xr-x 1 root root 41 Jan 25 2023 fgrep + -rwxr-xr-x 1 root root 85600 Mar 24 2023 findmnt + -rwsr-xr-x 1 root root 35128 Mar 23 2023 fusermount + -rwxr-xr-x 1 root root 203152 Jan 25 2023 grep + -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip + -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe + -rwxr-xr-x 1 root root 98136 Apr 10 2022 gzip + -rwxr-xr-x 1 root root 22680 Dec 20 2022 hostname + -rwxr-xr-x 1 root root 72824 Sep 21 2022 ln + -rwxr-xr-x 1 root root 53024 Mar 24 2023 login + -rwxr-xr-x 1 root root 151344 Sep 21 2022 ls + -rwxr-xr-x 1 root root 207168 Mar 24 2023 lsblk + -rwxr-xr-x 1 root root 97552 Sep 21 2022 mkdir + -rwxr-xr-x 1 root root 72912 Sep 21 2022 mknod + -rwxr-xr-x 1 root root 43952 Sep 21 2022 mktemp + -rwxr-xr-x 1 root root 59712 Mar 24 2023 more + -rwsr-xr-x 1 root root 59704 Mar 24 2023 mount + -rwxr-xr-x 1 root root 18744 Mar 24 2023 mountpoint + -rwxr-xr-x 1 root root 142968 Sep 21 2022 mv + lrwxrwxrwx 1 root root 8 Dec 20 2022 nisdomainname -> hostname + lrwxrwxrwx 1 root root 14 Apr 3 2023 pidof -> /sbin/killall5 + -rwxr-xr-x 1 root root 43952 Sep 21 2022 pwd + lrwxrwxrwx 1 root root 4 Apr 24 2023 rbash -> bash + -rwxr-xr-x 1 root root 52112 Sep 21 2022 readlink + -rwxr-xr-x 1 root root 72752 Sep 21 2022 rm + -rwxr-xr-x 1 root root 56240 Sep 21 2022 rmdir + -rwxr-xr-x 1 root root 27560 Nov 3 2022 run-parts + -rwxr-xr-x 1 root root 126424 Jan 6 2023 sed + lrwxrwxrwx 1 root root 9 Jun 3 04:01 sh -> /bin/bash + -rwxr-xr-x 1 root root 43888 Sep 21 2022 sleep + -rwxr-xr-x 1 root root 85008 Sep 21 2022 stty + -rwsr-xr-x 1 root root 72000 Mar 24 2023 su + -rwxr-xr-x 1 root root 39824 Sep 21 2022 sync + -rwxr-xr-x 1 root root 531984 Apr 7 2023 tar + -rwxr-xr-x 1 root root 14520 Nov 3 2022 tempfile + -rwxr-xr-x 1 root root 109616 Sep 21 2022 touch + -rwxr-xr-x 1 root root 35664 Sep 21 2022 true + -rwxr-xr-x 1 root root 14568 Mar 23 2023 ulockmgr_server + -rwsr-xr-x 1 root root 35128 Mar 24 2023 umount + -rwxr-xr-x 1 root root 43888 Sep 21 2022 uname + -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress + -rwxr-xr-x 1 root root 151344 Sep 21 2022 vdir + -rwxr-xr-x 1 root root 72024 Mar 24 2023 wdctl + lrwxrwxrwx 1 root root 8 Dec 20 2022 ypdomainname -> hostname + -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat + -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp + -rwxr-xr-x 1 root root 6460 Apr 10 2022 zdiff + -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep + -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep + -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce + -rwxr-xr-x 1 root root 8103 Apr 10 2022 zgrep + -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless + -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore + -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -440,7 +472,7 @@ Get: 225 http://deb.debian.org/debian bookworm/main amd64 qtbase5-dev-tools amd64 5.15.8+dfsg-3 [756 kB] Get: 226 http://deb.debian.org/debian bookworm/main amd64 qtbase5-dev amd64 5.15.8+dfsg-3 [1121 kB] Get: 227 http://deb.debian.org/debian bookworm/main amd64 libqt5opengl5-dev amd64 5.15.8+dfsg-3 [48.7 kB] -Fetched 151 MB in 6s (23.8 MB/s) +Fetched 151 MB in 2s (85.0 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package liblocale-gettext-perl. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19596 files and directories currently installed.) @@ -1368,8 +1400,19 @@ Writing extended state information... Building tag database... -> Finished parsing the build-deps +Reading package lists... +Building dependency tree... +Reading state information... +usrmerge is already the newest version (35). +0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package -I: Running cd /build/nextpnr-0.4/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../nextpnr_0.4-1_source.changes +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/A99_set_merged_usr starting +Re-configuring usrmerge... +removed '/etc/unsupported-skip-usrmerge-conversion' +The system has been successfully converted. +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/nextpnr-0.4/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../nextpnr_0.4-1_source.changes dpkg-buildpackage: info: source package nextpnr dpkg-buildpackage: info: source version 0.4-1 dpkg-buildpackage: info: source distribution unstable @@ -1578,7 +1621,7 @@ debian/rules override_dh_auto_build make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_build -Bdebian/build/generic - cd debian/build/generic && make -j15 "INSTALL=install --strip-program=true" VERBOSE=1 + cd debian/build/generic && make -j16 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/generic/CMakeFiles /build/nextpnr-0.4/debian/build/generic//CMakeFiles/progress.marks @@ -1594,40 +1637,40 @@ make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/bba /build/nextpnr-0.4/debian/build/generic/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build +make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' -[ 1%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc +make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' +[ 1%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o +cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc [ 2%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o -[ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -[ 4%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /build/nextpnr-0.4/debian/build/generic/bba && /usr/bin/c++ -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc -[ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -[ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc +[ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o +[ 4%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc +[ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc +[ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o +[ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc -[ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -[ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc -[ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -[ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc +[ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc -[ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o +[ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o +[ 10%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc +[ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc -[ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -[ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o +[ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o +[ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o @@ -1648,8 +1691,6 @@ /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc -[ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_generic::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); @@ -1660,6 +1701,8 @@ /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ +[ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 25%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 26%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o @@ -1668,17 +1711,17 @@ /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 28%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc -[ 29%] Linking CXX executable bbasm -cd /build/nextpnr-0.4/debian/build/generic/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 -/usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_system.so -make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -[ 29%] Built target bbasm -[ 30%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o +[ 29%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc -[ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o +[ 30%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc +[ 31%] Linking CXX executable bbasm +cd /build/nextpnr-0.4/debian/build/generic/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 +/usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_system.so [ 32%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc +make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' +[ 32%] Built target bbasm [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 34%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o @@ -1689,60 +1732,60 @@ /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 37%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /build/nextpnr-0.4/generic/arch.cc -[ 38%] Linking CXX static library libgtest.a +[ 38%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /build/nextpnr-0.4/generic/arch_pybindings.cc +[ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /build/nextpnr-0.4/generic/cells.cc +[ 40%] Linking CXX static library libgtest.a cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -[ 38%] Built target gtest +[ 40%] Built target gtest make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' -[ 39%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o +[ 41%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest_main.cc -[ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /build/nextpnr-0.4/generic/arch_pybindings.cc -[ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /build/nextpnr-0.4/generic/cells.cc -[ 42%] Linking CXX static library libgtest_main.a +[ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /build/nextpnr-0.4/generic/main.cc +[ 43%] Linking CXX static library libgtest_main.a cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -[ 42%] Built target gtest_main -[ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /build/nextpnr-0.4/generic/main.cc -[ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o +[ 43%] Built target gtest_main +make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/depend +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' +cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/CMakeFiles/nextpnr-generic-test.dir/DependInfo.cmake --color= +make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' +make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/build +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' +[ 44%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc +[ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /build/nextpnr-0.4/generic/pack.cc -[ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o +[ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /build/nextpnr-0.4/generic/viaduct_api.cc -[ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o +[ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /build/nextpnr-0.4/generic/viaduct_helpers.cc -[ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o +[ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /build/nextpnr-0.4/generic/viaduct/example/example.cc -[ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o +[ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /build/nextpnr-0.4/generic/viaduct/okami/okami.cc -[ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o +[ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fabulous.cc -[ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o +[ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fasm.cc -[ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o +[ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/pack.cc -[ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o +[ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/validity_check.cc -make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/depend -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' -cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/CMakeFiles/nextpnr-generic-test.dir/DependInfo.cmake --color= -make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' -make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/build -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' -[ 53%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o @@ -1781,10 +1824,10 @@ /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 72%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc -[ 73%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc -[ 74%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o +[ 73%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o +[ 74%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc +/usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 75%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 76%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o @@ -1847,7 +1890,7 @@ /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/generic/CMakeFiles 0 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' dh_auto_build -Bdebian/build/ice40 - cd debian/build/ice40 && make -j15 "INSTALL=install --strip-program=true" VERBOSE=1 + cd debian/build/ice40 && make -j16 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40/CMakeFiles /build/nextpnr-0.4/debian/build/ice40//CMakeFiles/progress.marks @@ -1855,28 +1898,28 @@ make[3]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend +make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/ice40 /build/nextpnr-0.4/debian/build/ice40/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake --color= -make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/bba /build/nextpnr-0.4/debian/build/ice40/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' -make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build -make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build +make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' +make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 0%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o -[ 1%] Generating chipdb/chipdb-384.bba -cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /build/nextpnr-0.4/debian/build/ice40/bba && /usr/bin/c++ -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc -[ 2%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o +[ 1%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o +[ 2%] Generating chipdb/chipdb-384.bba cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc +cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 3%] Generating chipdb/chipdb-1k.bba cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new @@ -1925,15 +1968,15 @@ make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' -[ 10%] Generating ice40/chipdb/chipdb-1k.cc -bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc +[ 10%] Generating ice40/chipdb/chipdb-5k.cc +[ 10%] Generating ice40/chipdb/chipdb-u4k.cc [ 11%] Generating ice40/chipdb/chipdb-384.cc -[ 12%] Generating ice40/chipdb/chipdb-5k.cc -bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc -[ 12%] Generating ice40/chipdb/chipdb-u4k.cc +[ 12%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 13%] Generating ice40/chipdb/chipdb-8k.cc +bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc +bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 13%] Built target chipdb-ice40-bins @@ -1943,14 +1986,14 @@ make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' -[ 13%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.cc -[ 14%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-5k.cc -[ 15%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o +[ 14%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o +[ 15%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o [ 16%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.cc +[ 16%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o [ 17%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o +/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.cc +/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-5k.cc +/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-u4k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-8k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' @@ -1958,105 +2001,111 @@ make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' -cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' -make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build -make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' -[ 23%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc -[ 24%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc -[ 25%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -[ 25%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -[ 26%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o +cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= +[ 23%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o +make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' +[ 23%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc -[ 26%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o +make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc -[ 27%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -[ 28%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -[ 29%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc -[ 30%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o +[ 24%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc -[ 32%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -[ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o +[ 25%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o +[ 26%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc -[ 34%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -[ 34%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc +[ 27%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc +[ 28%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc -[ 35%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o +[ 29%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o +[ 30%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o +[ 31%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc +[ 31%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc +[ 32%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc +[ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o +[ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc +[ 35%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc -[ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc -[ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc -[ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc -[ 39%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o +[ 36%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc -[ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc -[ 40%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o +[ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc +[ 38%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc -[ 41%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o +[ 39%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc -[ 42%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o +[ 40%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc -[ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc -[ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc -[ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc -[ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc -[ 47%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o +[ 41%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc -[ 48%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o +[ 42%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc -[ 49%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o +[ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc +[ 44%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc -[ 49%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o +[ 44%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc -[ 50%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o +[ 45%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc -[ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc -[ 52%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o +[ 46%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc -[ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc -[ 54%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o +[ 47%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc -[ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc -[ 55%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o +[ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc +[ 49%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc -[ 56%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o +[ 50%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc -[ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc -[ 58%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o +[ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc +[ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc +[ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc +[ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc +[ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc +[ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc +[ 56%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc -[ 58%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o +[ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc +[ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc +[ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc +[ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o +[ 59%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc -[ 59%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc -[ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc +[ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc +[ 61%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc +[ 62%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc +[ 63%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_ice40::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); @@ -2067,68 +2116,62 @@ /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ -[ 61%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc -[ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc -[ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc -[ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc -[ 65%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc -[ 66%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o +[ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc -[ 67%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o +[ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc +[ 66%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc +[ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc -[ 69%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc -[ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o +[ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc -[ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o +[ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc +[ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc +[ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp -[ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o +[ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc -[ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc -[ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc -[ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o +[ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc +[ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc -[ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc -[ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc -[ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o +[ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc -[ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o +[ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc +[ 79%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc +[ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc -[ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp -[ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o +[ 82%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc -[ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o +[ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc +[ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc -[ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc -[ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o +[ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc +[ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o @@ -2167,7 +2210,7 @@ /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40/CMakeFiles 0 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' dh_auto_build -Bdebian/build/ice40-qt - cd debian/build/ice40-qt && make -j15 "INSTALL=install --strip-program=true" VERBOSE=1 + cd debian/build/ice40-qt && make -j16 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles /build/nextpnr-0.4/debian/build/ice40-qt//CMakeFiles/progress.marks @@ -2183,83 +2226,56 @@ make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/ice40 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/ice40 /build/nextpnr-0.4/debian/build/ice40-qt/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/bba /build/nextpnr-0.4/debian/build/ice40-qt/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' +cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build +make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' +cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' +make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build +[ 1%] Automatic MOC for target gui_ice40 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json None +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build -make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build +[ 1%] Generating chipdb/chipdb-384.bba make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' +cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new +[ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build +AutoGen: Refreshing parse cache because it doesn't exist. +[ 2%] Automatic MOC for target QtPropertyBrowser make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 0%] Generating chipdb/chipdb-384.bba -cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new -[ 1%] Automatic MOC for target gui_ice40 -[ 2%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/bba && /usr/bin/c++ -DWITH_HEAP -Dbbasm_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc -[ 3%] Automatic MOC for target QtPropertyBrowser -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json None -[ 2%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None -AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/c++ -dM -E -c /usr/share/cmake-3.25/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None +[ 3%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/c++ -dM -E -c /usr/share/cmake-3.25/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" -AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" -AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" -AutoGen: Parsing "SRC:/gui/designwidget.h" +AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" -AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" -AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" +AutoGen: Parsing "SRC:/gui/designwidget.h" +AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" +AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" -AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/lineshader.h" -AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" +AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" -AutoGen: Parsing "SRC:/gui/treemodel.h" -AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" -AutoGen: Parsing "SRC:/gui/application.h" -AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" -AutoGen: Parsing "SRC:/gui/worker.h" -AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" -AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" -AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" +AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" -AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" -AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" -AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" @@ -2267,6 +2283,7 @@ AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" +AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" @@ -2279,57 +2296,84 @@ AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" +AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" +AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" +AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" +AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" +AutoGen: Parsing "SRC:/gui/treemodel.h" +AutoGen: Parsing "SRC:/gui/worker.h" +AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" +AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" +AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" +AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /build/nextpnr-0.4/gui/basewindow.h +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /build/nextpnr-0.4/gui/designwidget.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /build/nextpnr-0.4/gui/ice40/mainwindow.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /build/nextpnr-0.4/gui/line_editor.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /build/nextpnr-0.4/gui/fpgaviewwidget.h +AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /build/nextpnr-0.4/gui/worker.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /build/nextpnr-0.4/gui/pyconsole.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" +/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /build/nextpnr-0.4/gui/pythontab.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" +/usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" -/usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h +AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" +/usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" -/usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /build/nextpnr-0.4/gui/designwidget.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /build/nextpnr-0.4/gui/basewindow.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /build/nextpnr-0.4/gui/fpgaviewwidget.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /build/nextpnr-0.4/gui/ice40/mainwindow.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /build/nextpnr-0.4/gui/pyconsole.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /build/nextpnr-0.4/gui/line_editor.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /build/nextpnr-0.4/gui/worker.h -AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" -/usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/x86_64-linux-gnu/qt5 -I/usr/include/x86_64-linux-gnu/qt5/QtWidgets -I/usr/include/x86_64-linux-gnu/qt5/QtGui -I/usr/include/x86_64-linux-gnu/qt5/QtCore -I/usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/x86_64-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/x86_64-linux-gnu/12/include -I/usr/local/include -I/usr/include/x86_64-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /build/nextpnr-0.4/gui/pythontab.h -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating MOC compilation "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoGen: Writing the parse cache file "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" @@ -2340,38 +2384,37 @@ [ 3%] Generating qrc_qtpropertybrowser.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 4%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp -[ 4%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 5%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp +[ 5%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp +[ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o +[ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp -[ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp -[ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -[ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -[ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp +[ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp +[ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" +AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" -AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating MOC compilation "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoGen: Writing the parse cache file "SRC:/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" @@ -2387,11 +2430,11 @@ make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 11%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o +[ 10%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /build/nextpnr-0.4/gui/application.cc [ 11%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -[ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /build/nextpnr-0.4/gui/application.cc +[ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /build/nextpnr-0.4/gui/basewindow.cc [ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /build/nextpnr-0.4/gui/designwidget.cc @@ -2401,78 +2444,78 @@ cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /build/nextpnr-0.4/gui/line_editor.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /build/nextpnr-0.4/gui/lineshader.cc +[ 15%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /build/nextpnr-0.4/gui/pyconsole.cc cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba -[ 15%] Generating chipdb/chipdb-1k.bba +[ 16%] Generating chipdb/chipdb-1k.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new -[ 16%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /build/nextpnr-0.4/gui/pyconsole.cc [ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /build/nextpnr-0.4/gui/pythontab.cc +[ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /build/nextpnr-0.4/gui/treemodel.cc [ 18%] Linking CXX executable bbasm cd /build/nextpnr-0.4/debian/build/ice40-qt/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_system.so make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 18%] Built target bbasm -[ 18%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /build/nextpnr-0.4/gui/treemodel.cc [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /build/nextpnr-0.4/gui/worker.cc [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /build/nextpnr-0.4/gui/ice40/mainwindow.cc [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ColumnFormatter.cpp -[ 20%] Linking CXX static library libgtest.a +[ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.cpp +[ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BlockParseState.cpp +[ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BracketParseState.cpp +[ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp +[ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseMessage.cpp +[ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyredirector.cc +[ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyinterpreter.cc +[ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_widgets.cpp +[ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_draw.cpp +[ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui.cpp +[ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_demo.cpp +[ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.cpp +[ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/QtImGui.cpp +[ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp +[ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o +cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp +[ 29%] Linking CXX static library libgtest.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 20%] Built target gtest +[ 29%] Built target gtest make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 21%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o +[ 30%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest_main.cc -[ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.cpp -[ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BlockParseState.cpp -[ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BracketParseState.cpp -[ 24%] Linking CXX static library libgtest_main.a +[ 31%] Linking CXX static library libgtest_main.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake -[ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 25%] Built target gtest_main -[ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseMessage.cpp -[ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyredirector.cc -[ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyinterpreter.cc -[ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_widgets.cpp -[ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_draw.cpp -[ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui.cpp -[ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_demo.cpp -[ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.cpp -[ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/QtImGui.cpp -[ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp -[ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp +[ 31%] Built target gtest_main [ 32%] Linking CXX static library libQtPropertyBrowser.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 @@ -2508,13 +2551,13 @@ [ 35%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 36%] Generating ice40/chipdb/chipdb-1k.cc -[ 37%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc +[ 37%] Generating ice40/chipdb/chipdb-5k.cc +[ 37%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc +bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 38%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc -[ 38%] Generating ice40/chipdb/chipdb-u4k.cc -bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 38%] Built target chipdb-ice40-bins make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend @@ -2523,13 +2566,13 @@ make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -[ 38%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.cc [ 39%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -[ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o +[ 39%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-384.cc -/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.cc +[ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o +/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.cc [ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o +/usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.cc [ 41%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-8k.cc @@ -2538,101 +2581,105 @@ make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake --color= -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' +cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build +make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' -make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -[ 44%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc -[ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -[ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -[ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o +[ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc +[ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc +[ 45%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o +[ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -[ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc +[ 48%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o [ 48%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc -[ 50%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc -[ 50%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc -[ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -[ 51%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc -[ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc -[ 53%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o +[ 49%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o +[ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc +[ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc +[ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc +[ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o +[ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc +[ 53%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc +[ 54%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc -[ 53%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o +[ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc +[ 55%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc -[ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc -[ 55%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o +[ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc +[ 56%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc -[ 55%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o +[ 56%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc -[ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc -[ 56%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o +[ 57%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc -[ 57%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o +[ 58%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc -[ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc -[ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc -[ 58%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc -[ 60%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc -[ 61%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o +[ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc -[ 61%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc -[ 62%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc -[ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o +[ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc -[ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o +[ 61%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc -[ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o +[ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc -[ 65%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc -[ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o +[ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc -[ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o +[ 62%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc +[ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc -[ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o +[ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc +[ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc +[ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc -[ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o +[ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc -[ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o +[ 66%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc +[ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc +[ 68%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o [ 68%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc -[ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc -[ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o +[ 69%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc +[ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc +[ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc +[ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_ice40::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); @@ -2643,102 +2690,98 @@ /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ -[ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc -[ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc -[ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc +[ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc +[ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc -[ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o +[ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc +[ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc +[ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc +[ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc +[ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc -[ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o +[ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc -[ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o +[ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc -[ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc -[ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc -[ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o +[ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp -[ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o +[ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc +[ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc -[ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o +[ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc +[ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc -[ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o +[ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp +[ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc +[ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc -[ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o +[ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc +[ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc +[ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc -[ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc -[ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o +[ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc +[ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc -[ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o +[ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc -[ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o +[ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc -[ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc -[ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc -[ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o +[ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc -[ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc -[ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc -[ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc -[ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc -[ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc -[ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc -[ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc -[ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp -[ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc -[ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc -[ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc -[ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc -[ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o +[ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc -[ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o +[ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc -[ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o +[ 91%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc +[ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc -[ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o +[ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc +[ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc -[ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o +[ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc -[ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o +[ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc +[ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc -[ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o +[ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc +[ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc -[ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o +[ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc +[ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o +/usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/x86_64-linux-gnu/qt5 -isystem /usr/include/x86_64-linux-gnu/qt5/QtWidgets -isystem /usr/include/x86_64-linux-gnu/qt5/QtGui -isystem /usr/include/x86_64-linux-gnu/qt5/QtCore -isystem /usr/lib/x86_64-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/x86_64-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc -[ 99%] Linking CXX executable nextpnr-ice40 -/usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 -/usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_iostreams.so /usr/lib/x86_64-linux-gnu/libboost_system.so /usr/lib/x86_64-linux-gnu/libboost_thread.so /usr/lib/x86_64-linux-gnu/libboost_regex.so /usr/lib/x86_64-linux-gnu/libboost_chrono.so /usr/lib/x86_64-linux-gnu/libboost_date_time.so /usr/lib/x86_64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/x86_64-linux-gnu/libQt5OpenGL.so.5.15.8 /usr/lib/x86_64-linux-gnu/libOpenGL.so /usr/lib/x86_64-linux-gnu/libGLX.so /usr/lib/x86_64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/x86_64-linux-gnu/libpython3.11.so /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.15.8 -[100%] Linking CXX executable nextpnr-ice40-test +[ 99%] Linking CXX executable nextpnr-ice40-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_iostreams.so /usr/lib/x86_64-linux-gnu/libboost_system.so /usr/lib/x86_64-linux-gnu/libboost_thread.so /usr/lib/x86_64-linux-gnu/libboost_regex.so /usr/lib/x86_64-linux-gnu/libboost_chrono.so /usr/lib/x86_64-linux-gnu/libboost_date_time.so /usr/lib/x86_64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/x86_64-linux-gnu/libQt5OpenGL.so.5.15.8 /usr/lib/x86_64-linux-gnu/libOpenGL.so /usr/lib/x86_64-linux-gnu/libGLX.so /usr/lib/x86_64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/x86_64-linux-gnu/libpython3.11.so generated/3rdparty/googletest/libgtest.a /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.15.8 +[100%] Linking CXX executable nextpnr-ice40 +/usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 +/usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib/x86_64-linux-gnu/libboost_filesystem.so /usr/lib/x86_64-linux-gnu/libboost_program_options.so /usr/lib/x86_64-linux-gnu/libboost_iostreams.so /usr/lib/x86_64-linux-gnu/libboost_system.so /usr/lib/x86_64-linux-gnu/libboost_thread.so /usr/lib/x86_64-linux-gnu/libboost_regex.so /usr/lib/x86_64-linux-gnu/libboost_chrono.so /usr/lib/x86_64-linux-gnu/libboost_date_time.so /usr/lib/x86_64-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/x86_64-linux-gnu/libQt5OpenGL.so.5.15.8 /usr/lib/x86_64-linux-gnu/libOpenGL.so /usr/lib/x86_64-linux-gnu/libGLX.so /usr/lib/x86_64-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/x86_64-linux-gnu/libpython3.11.so /usr/lib/x86_64-linux-gnu/libQt5Widgets.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Gui.so.5.15.8 /usr/lib/x86_64-linux-gnu/libQt5Core.so.5.15.8 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [100%] Built target nextpnr-ice40 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' @@ -2751,10 +2794,10 @@ debian/rules override_dh_auto_test make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_test -Bdebian/build/generic - cd debian/build/generic && make -j15 test ARGS\+=--verbose ARGS\+=-j15 + cd debian/build/generic && make -j16 test ARGS\+=--verbose ARGS\+=-j16 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' Running tests... -/usr/bin/ctest --force-new-ctest-process --verbose -j15 +/usr/bin/ctest --force-new-ctest-process --verbose -j16 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/generic/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/generic/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/generic @@ -2772,20 +2815,20 @@ 1: Test timeout computed to be: 10000000 1: Running main() from gtest_main.cc 1: [==========] Running 0 tests from 0 test cases. -1: [==========] 0 tests from 0 test cases ran. (16 ms total) +1: [==========] 0 tests from 0 test cases ran. (24 ms total) 1: [ PASSED ] 0 tests. -1/1 Test #1: generic-test ..................... Passed 0.91 sec +1/1 Test #1: generic-test ..................... Passed 1.23 sec 100% tests passed, 0 tests failed out of 1 -Total Test time (real) = 1.26 sec +Total Test time (real) = 1.83 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' - rm -fr -- /tmp/dh-xdg-rundir-O0xOYUIE + rm -fr -- /tmp/dh-xdg-rundir-ozGvPLiw dh_auto_test -Bdebian/build/ice40 - cd debian/build/ice40 && make -j15 test ARGS\+=--verbose ARGS\+=-j15 + cd debian/build/ice40 && make -j16 test ARGS\+=--verbose ARGS\+=-j16 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' Running tests... -/usr/bin/ctest --force-new-ctest-process --verbose -j15 +/usr/bin/ctest --force-new-ctest-process --verbose -j16 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/ice40 @@ -2803,20 +2846,20 @@ 1: Test timeout computed to be: 10000000 1: Running main() from gtest_main.cc 1: [==========] Running 0 tests from 0 test cases. -1: [==========] 0 tests from 0 test cases ran. (0 ms total) +1: [==========] 0 tests from 0 test cases ran. (9 ms total) 1: [ PASSED ] 0 tests. -1/1 Test #1: ice40-test ....................... Passed 0.04 sec +1/1 Test #1: ice40-test ....................... Passed 0.41 sec 100% tests passed, 0 tests failed out of 1 -Total Test time (real) = 0.05 sec +Total Test time (real) = 0.44 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' - rm -fr -- /tmp/dh-xdg-rundir-9d8IaRMs + rm -fr -- /tmp/dh-xdg-rundir-WhqUP2Yr dh_auto_test -Bdebian/build/ice40-qt - cd debian/build/ice40-qt && make -j15 test ARGS\+=--verbose ARGS\+=-j15 + cd debian/build/ice40-qt && make -j16 test ARGS\+=--verbose ARGS\+=-j16 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' Running tests... -/usr/bin/ctest --force-new-ctest-process --verbose -j15 +/usr/bin/ctest --force-new-ctest-process --verbose -j16 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40-qt/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40-qt/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/ice40-qt @@ -2836,13 +2879,13 @@ 1: [==========] Running 0 tests from 0 test cases. 1: [==========] 0 tests from 0 test cases ran. (0 ms total) 1: [ PASSED ] 0 tests. -1/1 Test #1: ice40-test ....................... Passed 1.66 sec +1/1 Test #1: ice40-test ....................... Passed 1.44 sec 100% tests passed, 0 tests failed out of 1 -Total Test time (real) = 1.69 sec +Total Test time (real) = 1.50 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' - rm -fr -- /tmp/dh-xdg-rundir-RvNMNnuF + rm -fr -- /tmp/dh-xdg-rundir-1zOVo1zy make[1]: Leaving directory '/build/nextpnr-0.4' create-stamp debian/debhelper-build-stamp dh_prep -O-Bdebian/build @@ -2853,7 +2896,7 @@ make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_install -Bdebian/build/generic --destdir=/build/nextpnr-0.4/debian/nextpnr-generic install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-generic - cd debian/build/generic && make -j15 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-generic AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + cd debian/build/generic && make -j16 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-generic AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall @@ -2867,7 +2910,7 @@ make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' dh_auto_install -Bdebian/build/ice40 --destdir=/build/nextpnr-0.4/debian/nextpnr-ice40 install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-ice40 - cd debian/build/ice40 && make -j15 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40 AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + cd debian/build/ice40 && make -j16 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40 AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall @@ -2881,7 +2924,7 @@ make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' dh_auto_install -Bdebian/build/ice40-qt --destdir=/build/nextpnr-0.4/debian/nextpnr-ice40-qt install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-ice40-qt - cd debian/build/ice40-qt && make -j15 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + cd debian/build/ice40-qt && make -j16 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall @@ -2937,78 +2980,78 @@ help2man --name="Next Generation Place and Route" --version-string="0.4-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-generic/usr/bin/nextpnr-generic > debian/nextpnr-generic.1 help2man --name="Next Generation Place and Route" --version-string="0.4-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 > debian/nextpnr-ice40.1 dh_installman + install -m0755 -d debian/nextpnr-generic/usr/share/man/man1/ + install -p -m0644 ./debian/nextpnr-generic.1 debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 install -m0755 -d debian/nextpnr-ice40/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 install -m0755 -d debian/nextpnr-ice40-qt/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 - install -m0755 -d debian/nextpnr-generic/usr/share/man/man1/ - install -p -m0644 ./debian/nextpnr-generic.1 debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 - mv debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 - chmod 0644 -- debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 + man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1.dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 chmod 0644 -- debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 chmod 0644 -- debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 + mv debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 + chmod 0644 -- debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 make[1]: Leaving directory '/build/nextpnr-0.4' dh_installinit -O-Bdebian/build dh_perl -O-Bdebian/build dh_link -O-Bdebian/build dh_strip_nondeterminism -O-Bdebian/build dh_compress -O-Bdebian/build - cd debian/nextpnr-ice40 cd debian/nextpnr-ice40-qt + cd debian/nextpnr-ice40 cd debian/nextpnr-generic - chmod a-x usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 - gzip -9nf usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 chmod a-x usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 - gzip -9nf usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 + chmod a-x usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 gzip -9nf usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 + gzip -9nf usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 + gzip -9nf usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 cd '/build/nextpnr-0.4' cd '/build/nextpnr-0.4' cd '/build/nextpnr-0.4' dh_fixperms -O-Bdebian/build + find debian/nextpnr-ice40-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-generic ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40 ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/nextpnr-ice40-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s + find debian/nextpnr-ice40-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-generic/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/nextpnr-ice40-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/nextpnr-generic/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40-qt/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/nextpnr-generic/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/nextpnr-ice40/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/nextpnr-generic/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40-qt/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/nextpnr-ice40/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/nextpnr-generic/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/nextpnr-ice40-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40 -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/nextpnr-ice40-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 + find debian/nextpnr-ice40-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-generic/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ice40/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/nextpnr-ice40-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x dh_missing -O-Bdebian/build dh_dwz -a -O-Bdebian/build + dwz -- debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dwz -- debian/nextpnr-generic/usr/bin/nextpnr-generic dwz -- debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 - dwz -- debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dh_strip -a -O-Bdebian/build + install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1 + objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1/d9bd81d80a69d51e5de25d0d08acdfb78a79fa.debug install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/a1 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-generic/usr/bin/nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/a1/b257b363931a1959af3efb719361565e28eabe.debug install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/3c objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/3c/2c334d25aa279a982928b1818fd1bf6cbcbb65.debug - install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1 - objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1/d9bd81d80a69d51e5de25d0d08acdfb78a79fa.debug - chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1/d9bd81d80a69d51e5de25d0d08acdfb78a79fa.debug - strip --remove-section=.comment --remove-section=.note debian/nextpnr-ice40/usr/bin/nextpnr-ice40 chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/a1/b257b363931a1959af3efb719361565e28eabe.debug strip --remove-section=.comment --remove-section=.note debian/nextpnr-generic/usr/bin/nextpnr-generic objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/a1/b257b363931a1959af3efb719361565e28eabe.debug debian/nextpnr-generic/usr/bin/nextpnr-generic install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc ln -s nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc/nextpnr-generic-dbgsym install -m0755 -d debian/.debhelper/nextpnr-generic + chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1/d9bd81d80a69d51e5de25d0d08acdfb78a79fa.debug + strip --remove-section=.comment --remove-section=.note debian/nextpnr-ice40/usr/bin/nextpnr-ice40 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/f1/d9bd81d80a69d51e5de25d0d08acdfb78a79fa.debug debian/nextpnr-ice40/usr/bin/nextpnr-ice40 chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/3c/2c334d25aa279a982928b1818fd1bf6cbcbb65.debug strip --remove-section=.comment --remove-section=.note debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 @@ -3026,21 +3069,16 @@ dh_shlibdeps -a -O-Bdebian/build install -m0755 -d debian/nextpnr-generic/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-generic.substvars debian/nextpnr-generic/usr/bin/nextpnr-generic - install -m0755 -d debian/nextpnr-ice40/DEBIAN - dpkg-shlibdeps -Tdebian/nextpnr-ice40.substvars debian/nextpnr-ice40/usr/bin/nextpnr-ice40 install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ice40-qt.substvars debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 + install -m0755 -d debian/nextpnr-ice40/DEBIAN + dpkg-shlibdeps -Tdebian/nextpnr-ice40.substvars debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 was not linked against libOpenGL.so.0 (it uses none of the library's symbols) dh_installdeb -O-Bdebian/build install -m0755 -d debian/nextpnr-ice40/DEBIAN install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN dh_gencontrol -O-Bdebian/build - install -m0755 -d debian/nextpnr-generic/DEBIAN - echo misc:Depends= >> debian/nextpnr-generic.substvars - echo misc:Pre-Depends= >> debian/nextpnr-generic.substvars - install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN - dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/.debhelper/nextpnr-generic/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-generic-dbgsym "-DDepends=nextpnr-generic (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-generic" -DBuild-Ids=a1b257b363931a1959af3efb719361565e28eabe -DSection=debug -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-ice40/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40.substvars @@ -3051,47 +3089,52 @@ echo misc:Pre-Depends= >> debian/nextpnr-ice40-qt.substvars install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/.debhelper/nextpnr-ice40-qt/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-ice40-qt-dbgsym "-DDepends=nextpnr-ice40-qt (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ice40-qt" -DBuild-Ids=3c2c334d25aa279a982928b1818fd1bf6cbcbb65 -DSection=debug -UMulti-Arch -UReplaces -UBreaks + install -m0755 -d debian/nextpnr-generic/DEBIAN + echo misc:Depends= >> debian/nextpnr-generic.substvars + echo misc:Pre-Depends= >> debian/nextpnr-generic.substvars + install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN + dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/.debhelper/nextpnr-generic/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-generic-dbgsym "-DDepends=nextpnr-generic (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-generic" -DBuild-Ids=a1b257b363931a1959af3efb719361565e28eabe -DSection=debug -UMulti-Arch -UReplaces -UBreaks + chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/control + dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/nextpnr-ice40-qt chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/nextpnr-generic chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ice40 -ldebian/changelog -Tdebian/nextpnr-ice40.substvars -Pdebian/nextpnr-ice40 - chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/nextpnr-ice40-qt chmod 0644 -- debian/nextpnr-generic/DEBIAN/control - chmod 0644 -- debian/nextpnr-ice40/DEBIAN/control chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/control + chmod 0644 -- debian/nextpnr-ice40/DEBIAN/control dh_md5sums -O-Bdebian/build - install -m0755 -d debian/nextpnr-ice40/DEBIAN - install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN + install -m0755 -d debian/nextpnr-ice40/DEBIAN cd debian/nextpnr-generic >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/nextpnr-ice40-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN cd debian/nextpnr-ice40 >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums + cd debian/nextpnr-ice40-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-generic/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-generic/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/md5sums + chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/md5sums + install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN + cd debian/.debhelper/nextpnr-ice40-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-ice40/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/md5sums - chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN - cd debian/.debhelper/nextpnr-ice40-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/md5sums dh_builddeb -O-Bdebian/build dpkg-deb --root-owner-group --build debian/nextpnr-ice40 .. - dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40/dbgsym-root .. + dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-generic/dbgsym-root .. dpkg-deb --root-owner-group --build debian/nextpnr-ice40-qt .. dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40-qt/dbgsym-root .. - dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-generic/dbgsym-root .. + dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40/dbgsym-root .. dpkg-deb --root-owner-group --build debian/nextpnr-generic .. +dpkg-deb: building package 'nextpnr-generic-dbgsym' in '../nextpnr-generic-dbgsym_0.4-1_amd64.deb'. +dpkg-deb: building package 'nextpnr-generic' in '../nextpnr-generic_0.4-1_amd64.deb'. +dpkg-deb: building package 'nextpnr-ice40-qt-dbgsym' in '../nextpnr-ice40-qt-dbgsym_0.4-1_amd64.deb'. dpkg-deb: building package 'nextpnr-ice40-dbgsym' in '../nextpnr-ice40-dbgsym_0.4-1_amd64.deb'. dpkg-deb: building package 'nextpnr-ice40' in '../nextpnr-ice40_0.4-1_amd64.deb'. -dpkg-deb: building package 'nextpnr-ice40-qt-dbgsym' in '../nextpnr-ice40-qt-dbgsym_0.4-1_amd64.deb'. -dpkg-deb: building package 'nextpnr-generic-dbgsym' in '../nextpnr-generic-dbgsym_0.4-1_amd64.deb'. dpkg-deb: building package 'nextpnr-ice40-qt' in '../nextpnr-ice40-qt_0.4-1_amd64.deb'. -dpkg-deb: building package 'nextpnr-generic' in '../nextpnr-generic_0.4-1_amd64.deb'. dpkg-genbuildinfo --build=binary -O../nextpnr_0.4-1_amd64.buildinfo dpkg-genchanges --build=binary -O../nextpnr_0.4-1_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) @@ -3099,12 +3142,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/1623709/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/1358626 and its subdirectories -I: Current time: Sun Apr 30 19:38:10 -12 2023 -I: pbuilder-time-stamp: 1682926690 +I: removing directory /srv/workspace/pbuilder/1623709 and its subdirectories +I: Current time: Mon Jun 3 04:54:12 +14 2024 +I: pbuilder-time-stamp: 1717340052