Diff of the two buildlogs: -- --- b1/build.log 2024-05-07 19:00:47.099467307 +0000 +++ b2/build.log 2024-05-07 19:12:53.600559638 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Tue May 7 06:38:31 -12 2024 -I: pbuilder-time-stamp: 1715107111 +I: Current time: Wed May 8 09:04:40 +14 2024 +I: pbuilder-time-stamp: 1715108680 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration @@ -38,52 +38,84 @@ dpkg-source: info: applying optional-octave-tests I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/11896/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/D01_modify_environment starting +debug: Running on virt32z. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 May 7 19:04 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='armhf' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=3 ' - DISTRIBUTION='trixie' - HOME='/root' - HOST_ARCH='armhf' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="arm-unknown-linux-gnueabihf") + BASH_VERSION='5.2.21(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=armhf + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=4 ' + DIRSTACK=() + DISTRIBUTION=trixie + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=arm + HOST_ARCH=armhf IFS=' ' - INVOCATION_ID='444c4b84513f41c8a9816ed5a0875440' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='11896' - PS1='# ' - PS2='> ' + INVOCATION_ID=9fd8c1c8a6954009aa6beebd149dc567 + LANG=C + LANGUAGE=it_CH:it + LC_ALL=C + MACHTYPE=arm-unknown-linux-gnueabihf + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnueabihf + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=14948 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.SDymwEo4/pbuilderrc_QT7w --distribution trixie --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.SDymwEo4/b1 --logfile b1/build.log codec2_1.2.0-2.dsc' - SUDO_GID='113' - SUDO_UID='107' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://10.0.0.15:3142/' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.SDymwEo4/pbuilderrc_ztoh --distribution trixie --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.SDymwEo4/b2 --logfile b2/build.log codec2_1.2.0-2.dsc' + SUDO_GID=110 + SUDO_UID=103 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://10.0.0.15:3142/ I: uname -a - Linux virt64b 6.1.0-21-arm64 #1 SMP Debian 6.1.90-1 (2024-05-03) aarch64 GNU/Linux + Linux i-capture-the-hostname 6.1.0-21-armmp-lpae #1 SMP Debian 6.1.90-1 (2024-05-03) armv7l GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 May 5 11:24 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/11896/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 May 7 11:24 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -184,7 +216,7 @@ Get: 57 http://deb.debian.org/debian trixie/main armhf libsamplerate0-dev armhf 0.2.2-4+b1 [62.9 kB] Get: 58 http://deb.debian.org/debian trixie/main armhf libspeexdsp1 armhf 1.2.1-1+b1 [34.6 kB] Get: 59 http://deb.debian.org/debian trixie/main armhf libspeexdsp-dev armhf 1.2.1-1+b1 [43.1 kB] -Fetched 30.2 MB in 2s (13.1 MB/s) +Fetched 30.2 MB in 1s (57.5 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package libproc2-0:armhf. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19444 files and directories currently installed.) @@ -435,7 +467,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/codec2-1.2.0/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../codec2_1.2.0-2_source.changes +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for trixie +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/codec2-1.2.0/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../codec2_1.2.0-2_source.changes dpkg-buildpackage: info: source package codec2 dpkg-buildpackage: info: source version 1.2.0-2 dpkg-buildpackage: info: source distribution unstable @@ -472,7 +508,7 @@ -- Detecting C compile features -- Detecting C compile features - done -- LPCNet version: 0.5 --- Host system arch is: aarch64 +-- Host system arch is: armv7l -- Performing Test HAS_MAVX2_FLAG -- Performing Test HAS_MAVX2_FLAG - Failed -- Performing Test HAS_MFMA_FLAG @@ -488,8 +524,8 @@ -- Performing Test HAS_MTUNE_A53_FLAG -- Performing Test HAS_MTUNE_A53_FLAG - Success -- Compilation date = XX20240507XX --- Configuring done (4.4s) --- Generating done (0.4s) +-- Configuring done (1.9s) +-- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: @@ -891,8 +927,8 @@ -- Compiler Flags: -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/codec2-1.2.0=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wno-strict-overflow -std=gnu11 -fPIC-O2 -g -DNDEBUG -- Libraries linked: -- Compilation date = XX2023-08-16XX --- Configuring done (12.9s) --- Generating done (0.6s) +-- Configuring done (6.0s) +-- Generating done (0.4s) CMake Warning: Manually-specified variables were not used by the project: @@ -2488,10 +2524,10 @@ debian/rules override_dh_auto_test make[1]: Entering directory '/build/reproducible-path/codec2-1.2.0' dh_auto_test - cd Build && make -j3 test ARGS\+=--verbose ARGS\+=-j3 + cd Build && make -j4 test ARGS\+=--verbose ARGS\+=-j4 make[2]: Entering directory '/build/reproducible-path/codec2-1.2.0/Build' Running tests... -/usr/bin/ctest --force-new-ctest-process --verbose -j3 +/usr/bin/ctest --force-new-ctest-process --verbose -j4 UpdateCTestConfiguration from :/build/reproducible-path/codec2-1.2.0/Build/DartConfiguration.tcl Parse Config file:/build/reproducible-path/codec2-1.2.0/Build/DartConfiguration.tcl UpdateCTestConfiguration from :/build/reproducible-path/codec2-1.2.0/Build/DartConfiguration.tcl @@ -2522,12 +2558,6 @@ 3: Test command: /build/reproducible-path/codec2-1.2.0/Build/unittest/tfifo 3: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 3: Test timeout computed to be: 1500 -1: /usr/bin/sh: 2: clang-format: not found -2: None - 1/155 Test #1: test_clang_format ..............................***Failed 0.04 sec -/usr/bin/sh: 2: clang-format: not found - - 2/155 Test #2: test_freedv_get_hash ........................... Passed 0.04 sec test 4 Start 4: test_fdmdv_16to8 @@ -2537,6 +2567,12 @@ " 4: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 4: Test timeout computed to be: 1500 +1: /usr/bin/sh: line 2: clang-format: command not found +2: None + 1/155 Test #1: test_clang_format ..............................***Failed 0.02 sec +/usr/bin/sh: line 2: clang-format: command not found + + 2/155 Test #2: test_freedv_get_hash ........................... Passed 0.02 sec test 5 Start 5: test_fdmdv_16to8_short @@ -2546,11 +2582,6 @@ " 5: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 5: Test timeout computed to be: 1500 -4: /usr/bin/sh: 3: octave-cli: not found - 3/155 Test #4: test_fdmdv_16to8 ...............................***Failed Required regular expression not found. Regex=[PASS -] 0.04 sec -/usr/bin/sh: 3: octave-cli: not found - test 6 Start 6: test_fdmdv_48to8_short @@ -2560,10 +2591,10 @@ " 6: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 6: Test timeout computed to be: 1500 -5: /usr/bin/sh: 3: octave-cli: not found - 4/155 Test #5: test_fdmdv_16to8_short .........................***Failed Required regular expression not found. Regex=[PASS +4: /usr/bin/sh: line 3: octave-cli: command not found + 3/155 Test #4: test_fdmdv_16to8 ...............................***Failed Required regular expression not found. Regex=[PASS ] 0.05 sec -/usr/bin/sh: 3: octave-cli: not found +/usr/bin/sh: line 3: octave-cli: command not found test 7 Start 7: test_fdmdv_48to8 @@ -2574,10 +2605,10 @@ " 7: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 7: Test timeout computed to be: 1500 -6: /usr/bin/sh: 3: octave-cli: not found - 5/155 Test #6: test_fdmdv_48to8_short .........................***Failed Required regular expression not found. Regex=[PASS -] 0.07 sec -/usr/bin/sh: 3: octave-cli: not found +5: /usr/bin/sh: line 3: octave-cli: command not found + 4/155 Test #5: test_fdmdv_16to8_short .........................***Failed Required regular expression not found. Regex=[PASS +] 0.06 sec +/usr/bin/sh: line 3: octave-cli: command not found test 8 Start 8: test_quisk_filter @@ -2591,10 +2622,10 @@ " 8: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 8: Test timeout computed to be: 1500 -7: /usr/bin/sh: 3: octave-cli: not found - 6/155 Test #7: test_fdmdv_48to8 ...............................***Failed Required regular expression not found. Regex=[PASS -] 0.07 sec -/usr/bin/sh: 3: octave-cli: not found +6: /usr/bin/sh: line 3: octave-cli: command not found + 5/155 Test #6: test_fdmdv_48to8_short .........................***Failed Required regular expression not found. Regex=[PASS +] 0.06 sec +/usr/bin/sh: line 3: octave-cli: command not found test 9 Start 9: test_CML_ldpcut @@ -2604,11 +2635,10 @@ 9: Environment variables: 9: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 9: Test timeout computed to be: 1500 -8: /usr/bin/sh: 4: sox: not found -9: /usr/bin/sh: 1: octave-cli: not found - 7/155 Test #9: test_CML_ldpcut ................................***Failed Required regular expression not found. Regex=[Nerr: 0 -] 0.02 sec -/usr/bin/sh: 1: octave-cli: not found +9: /usr/bin/sh: line 1: octave-cli: command not found + 6/155 Test #9: test_CML_ldpcut ................................***Failed Required regular expression not found. Regex=[Nerr: 0 +] 0.01 sec +/usr/bin/sh: line 1: octave-cli: command not found test 10 Start 10: test_CML_ldpcut_one_stuffing @@ -2618,10 +2648,11 @@ 10: Environment variables: 10: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 10: Test timeout computed to be: 1500 -10: /usr/bin/sh: 1: octave-cli: not found - 8/155 Test #10: test_CML_ldpcut_one_stuffing ...................***Failed Required regular expression not found. Regex=[Ferrs: 0 -] 0.02 sec -/usr/bin/sh: 1: octave-cli: not found +7: /usr/bin/sh: line 3: octave-cli: command not found +8: /usr/bin/sh: line 4: sox: command not found + 7/155 Test #7: test_fdmdv_48to8 ...............................***Failed Required regular expression not found. Regex=[PASS +] 0.06 sec +/usr/bin/sh: line 3: octave-cli: command not found test 11 Start 11: test_golay23 @@ -2629,11 +2660,10 @@ 11: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/golay23" 11: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 11: Test timeout computed to be: 1500 -8: /usr/bin/sh: 6: octave-cli: not found - 9/155 Test #8: test_quisk_filter ..............................***Failed Required regular expression not found. Regex=[PASS -] 0.07 sec -/usr/bin/sh: 4: sox: not found -/usr/bin/sh: 6: octave-cli: not found +10: /usr/bin/sh: line 1: octave-cli: command not found + 8/155 Test #10: test_CML_ldpcut_one_stuffing ...................***Failed Required regular expression not found. Regex=[Ferrs: 0 +] 0.02 sec +/usr/bin/sh: line 1: octave-cli: command not found test 12 Start 12: test_golay23_runtime_tables @@ -2641,6 +2671,19 @@ 12: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/golay23_runtime_tables" 12: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 12: Test timeout computed to be: 1500 +8: /usr/bin/sh: line 6: octave-cli: command not found + 9/155 Test #8: test_quisk_filter ..............................***Failed Required regular expression not found. Regex=[PASS +] 0.08 sec +/usr/bin/sh: line 4: sox: command not found +/usr/bin/sh: line 6: octave-cli: command not found + +test 13 + Start 13: test_ch_papr + +13: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build; + ./unittest/mksine - 1000 10 | ./src/ch - /dev/null --ctest" +13: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +13: Test timeout computed to be: 1500 11: 000 -> 000000 000 11: 001 -> 000c75 001 11: 002 -> 00149f 002 @@ -2868,6 +2911,235 @@ 11: 0e0 -> 070447 0e0 11: 0e1 -> 070832 0e1 11: 0e2 -> 0710d8 0e2 +13: ch: Fs: 8000 NodB: -100.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +11: 0e3 -> 071cad 0e3 +11: 0e4 -> 07210c 0e4 +11: 0e5 -> 072d79 0e5 +11: 0e6 -> 073593 0e6 +11: 0e7 -> 0739e6 0e7 +11: 0e8 -> 0742a4 0e8 +11: 0e9 -> 074ed1 0e9 +11: 0ea -> 07563b 0ea +11: 0eb -> 075a4e 0eb +11: 0ec -> 0767ef 0ec +11: 0ed -> 076b9a 0ed +11: 0ee -> 077370 0ee +11: 0ef -> 077f05 0ef +11: 0f0 -> 0785f4 0f0 +11: 0f1 -> 078981 0f1 +11: 0f2 -> 07916b 0f2 +11: 0f3 -> 079d1e 0f3 +11: 0f4 -> 07a0bf 0f4 +11: 0f5 -> 07acca 0f5 +11: 0f6 -> 07b420 0f6 +11: 0f7 -> 07b855 0f7 +11: 0f8 -> 07c317 0f8 +11: 0f9 -> 07cf62 0f9 +11: 0fa -> 07d788 0fa +11: 0fb -> 07dbfd 0fb +11: 0fc -> 07e65c 0fc +11: 0fd -> 07ea29 0fd +11: 0fe -> 07f2c3 0fe +11: 0ff -> 07feb6 0ff +11: 100 -> 0803da 100 +11: 101 -> 080faf 101 +11: 102 -> 081745 102 +11: 103 -> 081b30 103 +11: 104 -> 082691 104 +11: 105 -> 082ae4 105 +11: 106 -> 08320e 106 +11: 107 -> 083e7b 107 +11: 108 -> 084539 108 +11: 109 -> 08494c 109 +11: 10a -> 0851a6 10a +11: 10b -> 085dd3 10b +11: 10c -> 086072 10c +11: 10d -> 086c07 10d +11: 10e -> 0874ed 10e +11: 10f -> 087898 10f +11: 110 -> 088269 110 +11: 111 -> 088e1c 111 +11: 112 -> 0896f6 112 +11: 113 -> 089a83 113 +11: 114 -> 08a722 114 +11: 115 -> 08ab57 115 +11: 116 -> 08b3bd 116 +11: 117 -> 08bfc8 117 +11: 118 -> 08c48a 118 +11: 119 -> 08c8ff 119 +11: 11a -> 08d015 11a +11: 11b -> 08dc60 11b +11: 11c -> 08e1c1 11c +11: 11d -> 08edb4 11d +11: 11e -> 08f55e 11e +11: 11f -> 08f92b 11f +11: 120 -> 0900bc 120 +11: 121 -> 090cc9 121 +11: 122 -> 091423 122 +11: 123 -> 091856 123 +11: 124 -> 0925f7 124 +11: 125 -> 092982 125 +11: 126 -> 093168 126 +11: 127 -> 093d1d 127 +11: 128 -> 09465f 128 +11: 129 -> 094a2a 129 +11: 12a -> 0952c0 12a +11: 12b -> 095eb5 12b +11: 12c -> 096314 12c +11: 12d -> 096f61 12d +11: 12e -> 09778b 12e +11: 12f -> 097bfe 12f +11: 130 -> 09810f 130 +11: 131 -> 098d7a 131 +11: 132 -> 099590 132 +11: 133 -> 0999e5 133 +11: 134 -> 09a444 134 +11: 135 -> 09a831 135 +11: 136 -> 09b0db 136 +11: 137 -> 09bcae 137 +11: 138 -> 09c7ec 138 +11: 139 -> 09cb99 139 +11: 13a -> 09d373 13a +11: 13b -> 09df06 13b +11: 13c -> 09e2a7 13c +11: 13d -> 09eed2 13d +11: 13e -> 09f638 13e +11: 13f -> 09fa4d 13f +11: 140 -> 0a0516 140 +11: 141 -> 0a0963 141 +11: 142 -> 0a1189 142 +11: 143 -> 0a1dfc 143 +11: 144 -> 0a205d 144 +11: 145 -> 0a2c28 145 +11: 146 -> 0a34c2 146 +11: 147 -> 0a38b7 147 +11: 148 -> 0a43f5 148 +11: 149 -> 0a4f80 149 +11: 14a -> 0a576a 14a +11: 14b -> 0a5b1f 14b +11: 14c -> 0a66be 14c +11: 14d -> 0a6acb 14d +11: 14e -> 0a7221 14e +11: 14f -> 0a7e54 14f +11: 150 -> 0a84a5 150 +11: 151 -> 0a88d0 151 +11: 152 -> 0a903a 152 +11: 153 -> 0a9c4f 153 +11: 154 -> 0aa1ee 154 +11: 155 -> 0aad9b 155 +11: 156 -> 0ab571 156 +11: 157 -> 0ab904 157 +11: 158 -> 0ac246 158 +11: 159 -> 0ace33 159 +11: 15a -> 0ad6d9 15a +11: 15b -> 0adaac 15b +11: 15c -> 0ae70d 15c +11: 15d -> 0aeb78 15d +11: 15e -> 0af392 15e +11: 15f -> 0affe7 15f +11: 160 -> 0b0670 160 +11: 161 -> 0b0a05 161 +11: 162 -> 0b12ef 162 +11: 163 -> 0b1e9a 163 +11: 164 -> 0b233b 164 +11: 165 -> 0b2f4e 165 +11: 166 -> 0b37a4 166 +11: 167 -> 0b3bd1 167 +11: 168 -> 0b4093 168 +11: 169 -> 0b4ce6 169 +11: 16a -> 0b540c 16a +11: 16b -> 0b5879 16b +11: 16c -> 0b65d8 16c +11: 16d -> 0b69ad 16d +11: 16e -> 0b7147 16e +11: 16f -> 0b7d32 16f +11: 170 -> 0b87c3 170 +11: 171 -> 0b8bb6 171 +11: 172 -> 0b935c 172 +11: 173 -> 0b9f29 173 +11: 174 -> 0ba288 174 +11: 175 -> 0baefd 175 +11: 176 -> 0bb617 176 +11: 177 -> 0bba62 177 +11: 178 -> 0bc120 178 +11: 179 -> 0bcd55 179 +11: 17a -> 0bd5bf 17a +11: 17b -> 0bd9ca 17b +11: 17c -> 0be46b 17c +11: 17d -> 0be81e 17d +11: 17e -> 0bf0f4 17e +11: 17f -> 0bfc81 17f +11: 180 -> 0c0237 180 +11: 181 -> 0c0e42 181 +11: 182 -> 0c16a8 182 +11: 183 -> 0c1add 183 +11: 184 -> 0c277c 184 +11: 185 -> 0c2b09 185 +11: 186 -> 0c33e3 186 +11: 187 -> 0c3f96 187 +11: 188 -> 0c44d4 188 +11: 189 -> 0c48a1 189 +11: 18a -> 0c504b 18a +11: 18b -> 0c5c3e 18b +11: 18c -> 0c619f 18c +11: 18d -> 0c6dea 18d +11: 18e -> 0c7500 18e +11: 18f -> 0c7975 18f +11: 190 -> 0c8384 190 +11: 191 -> 0c8ff1 191 +11: 192 -> 0c971b 192 +11: 193 -> 0c9b6e 193 +11: 194 -> 0ca6cf 194 +11: 195 -> 0caaba 195 +11: 196 -> 0cb250 196 +11: 197 -> 0cbe25 197 +11: 198 -> 0cc567 198 +11: 199 -> 0cc912 199 +11: 19a -> 0cd1f8 19a +11: 19b -> 0cdd8d 19b +11: 19c -> 0ce02c 19c +11: 19d -> 0cec59 19d +11: 19e -> 0cf4b3 19e +11: 19f -> 0cf8c6 19f +11: 1a0 -> 0d0151 1a0 +11: 1a1 -> 0d0d24 1a1 +11: 1a2 -> 0d15ce 1a2 +11: 1a3 -> 0d19bb 1a3 +11: 1a4 -> 0d241a 1a4 +11: 1a5 -> 0d286f 1a5 +11: 1a6 -> 0d3085 1a6 +11: 1a7 -> 0d3cf0 1a7 +11: 1a8 -> 0d47b2 1a8 +11: 1a9 -> 0d4bc7 1a9 +11: 1aa -> 0d532d 1aa +11: 1ab -> 0d5f58 1ab +11: 1ac -> 0d62f9 1ac +11: 1ad -> 0d6e8c 1ad +11: 1ae -> 0d7666 1ae +11: 1af -> 0d7a13 1af +11: 1b0 -> 0d80e2 1b0 +11: 1b1 -> 0d8c97 1b1 +11: 1b2 -> 0d947d 1b2 +11: 1b3 -> 0d9808 1b3 +11: 1b4 -> 0da5a9 1b4 +11: 1b5 -> 0da9dc 1b5 +11: 1b6 -> 0db136 1b6 +11: 1b7 -> 0dbd43 1b7 +11: 1b8 -> 0dc601 1b8 +11: 1b9 -> 0dca74 1b9 +11: 1ba -> 0dd29e 1ba +11: 1bb -> 0ddeeb 1bb +11: 1bc -> 0de34a 1bc +11: 1bd -> 0def3f 1bd +11: 1be -> 0df7d5 1be +11: 1bf -> 0dfba0 1bf +11: 1c0 -> 0e04fb 1c0 +11: 1c1 -> 0e088e 1c1 +11: 1c2 -> 0e1064 1c2 +11: 1c3 -> 0e1c11 1c3 +11: 1c4 -> 0e21b0 1c4 +11: 1c5 -> 0e2dc5 1c5 +11: 1c6 -> 0e352f 1c6 12: 000 -> 000000 000 12: 001 -> 000c75 001 12: 002 -> 00149f 002 @@ -3323,234 +3595,6 @@ 12: 1c4 -> 0e21b0 1c4 12: 1c5 -> 0e2dc5 1c5 12: 1c6 -> 0e352f 1c6 -11: 0e3 -> 071cad 0e3 -11: 0e4 -> 07210c 0e4 -11: 0e5 -> 072d79 0e5 -11: 0e6 -> 073593 0e6 -11: 0e7 -> 0739e6 0e7 -11: 0e8 -> 0742a4 0e8 -11: 0e9 -> 074ed1 0e9 -11: 0ea -> 07563b 0ea -11: 0eb -> 075a4e 0eb -11: 0ec -> 0767ef 0ec -11: 0ed -> 076b9a 0ed -11: 0ee -> 077370 0ee -11: 0ef -> 077f05 0ef -11: 0f0 -> 0785f4 0f0 -11: 0f1 -> 078981 0f1 -11: 0f2 -> 07916b 0f2 -11: 0f3 -> 079d1e 0f3 -11: 0f4 -> 07a0bf 0f4 -11: 0f5 -> 07acca 0f5 -11: 0f6 -> 07b420 0f6 -11: 0f7 -> 07b855 0f7 -11: 0f8 -> 07c317 0f8 -11: 0f9 -> 07cf62 0f9 -11: 0fa -> 07d788 0fa -11: 0fb -> 07dbfd 0fb -11: 0fc -> 07e65c 0fc -11: 0fd -> 07ea29 0fd -11: 0fe -> 07f2c3 0fe -11: 0ff -> 07feb6 0ff -11: 100 -> 0803da 100 -11: 101 -> 080faf 101 -11: 102 -> 081745 102 -11: 103 -> 081b30 103 -11: 104 -> 082691 104 -11: 105 -> 082ae4 105 -11: 106 -> 08320e 106 -11: 107 -> 083e7b 107 -11: 108 -> 084539 108 -11: 109 -> 08494c 109 -11: 10a -> 0851a6 10a -11: 10b -> 085dd3 10b -11: 10c -> 086072 10c -11: 10d -> 086c07 10d -11: 10e -> 0874ed 10e -11: 10f -> 087898 10f -11: 110 -> 088269 110 -11: 111 -> 088e1c 111 -11: 112 -> 0896f6 112 -11: 113 -> 089a83 113 -11: 114 -> 08a722 114 -11: 115 -> 08ab57 115 -11: 116 -> 08b3bd 116 -11: 117 -> 08bfc8 117 -11: 118 -> 08c48a 118 -11: 119 -> 08c8ff 119 -11: 11a -> 08d015 11a -11: 11b -> 08dc60 11b -11: 11c -> 08e1c1 11c -11: 11d -> 08edb4 11d -11: 11e -> 08f55e 11e -11: 11f -> 08f92b 11f -11: 120 -> 0900bc 120 -11: 121 -> 090cc9 121 -11: 122 -> 091423 122 -11: 123 -> 091856 123 -11: 124 -> 0925f7 124 -11: 125 -> 092982 125 -11: 126 -> 093168 126 -11: 127 -> 093d1d 127 -11: 128 -> 09465f 128 -11: 129 -> 094a2a 129 -11: 12a -> 0952c0 12a -11: 12b -> 095eb5 12b -11: 12c -> 096314 12c -11: 12d -> 096f61 12d -11: 12e -> 09778b 12e -11: 12f -> 097bfe 12f -11: 130 -> 09810f 130 -11: 131 -> 098d7a 131 -11: 132 -> 099590 132 -11: 133 -> 0999e5 133 -11: 134 -> 09a444 134 -11: 135 -> 09a831 135 -11: 136 -> 09b0db 136 -11: 137 -> 09bcae 137 -11: 138 -> 09c7ec 138 -11: 139 -> 09cb99 139 -11: 13a -> 09d373 13a -11: 13b -> 09df06 13b -11: 13c -> 09e2a7 13c -11: 13d -> 09eed2 13d -11: 13e -> 09f638 13e -11: 13f -> 09fa4d 13f -11: 140 -> 0a0516 140 -11: 141 -> 0a0963 141 -11: 142 -> 0a1189 142 -11: 143 -> 0a1dfc 143 -11: 144 -> 0a205d 144 -11: 145 -> 0a2c28 145 -11: 146 -> 0a34c2 146 -11: 147 -> 0a38b7 147 -11: 148 -> 0a43f5 148 -11: 149 -> 0a4f80 149 -11: 14a -> 0a576a 14a -11: 14b -> 0a5b1f 14b -11: 14c -> 0a66be 14c -11: 14d -> 0a6acb 14d -11: 14e -> 0a7221 14e -11: 14f -> 0a7e54 14f -11: 150 -> 0a84a5 150 -11: 151 -> 0a88d0 151 -11: 152 -> 0a903a 152 -11: 153 -> 0a9c4f 153 -11: 154 -> 0aa1ee 154 -11: 155 -> 0aad9b 155 -11: 156 -> 0ab571 156 -11: 157 -> 0ab904 157 -11: 158 -> 0ac246 158 -11: 159 -> 0ace33 159 -11: 15a -> 0ad6d9 15a -11: 15b -> 0adaac 15b -11: 15c -> 0ae70d 15c -11: 15d -> 0aeb78 15d -11: 15e -> 0af392 15e -11: 15f -> 0affe7 15f -11: 160 -> 0b0670 160 -11: 161 -> 0b0a05 161 -11: 162 -> 0b12ef 162 -11: 163 -> 0b1e9a 163 -11: 164 -> 0b233b 164 -11: 165 -> 0b2f4e 165 -11: 166 -> 0b37a4 166 -11: 167 -> 0b3bd1 167 -11: 168 -> 0b4093 168 -11: 169 -> 0b4ce6 169 -11: 16a -> 0b540c 16a -11: 16b -> 0b5879 16b -11: 16c -> 0b65d8 16c -11: 16d -> 0b69ad 16d -11: 16e -> 0b7147 16e -11: 16f -> 0b7d32 16f -11: 170 -> 0b87c3 170 -11: 171 -> 0b8bb6 171 -11: 172 -> 0b935c 172 -11: 173 -> 0b9f29 173 -11: 174 -> 0ba288 174 -11: 175 -> 0baefd 175 -11: 176 -> 0bb617 176 -11: 177 -> 0bba62 177 -11: 178 -> 0bc120 178 -11: 179 -> 0bcd55 179 -11: 17a -> 0bd5bf 17a -11: 17b -> 0bd9ca 17b -11: 17c -> 0be46b 17c -11: 17d -> 0be81e 17d -11: 17e -> 0bf0f4 17e -11: 17f -> 0bfc81 17f -11: 180 -> 0c0237 180 -11: 181 -> 0c0e42 181 -11: 182 -> 0c16a8 182 -11: 183 -> 0c1add 183 -11: 184 -> 0c277c 184 -11: 185 -> 0c2b09 185 -11: 186 -> 0c33e3 186 -11: 187 -> 0c3f96 187 -11: 188 -> 0c44d4 188 -11: 189 -> 0c48a1 189 -11: 18a -> 0c504b 18a -11: 18b -> 0c5c3e 18b -11: 18c -> 0c619f 18c -11: 18d -> 0c6dea 18d -11: 18e -> 0c7500 18e -11: 18f -> 0c7975 18f -11: 190 -> 0c8384 190 -11: 191 -> 0c8ff1 191 -11: 192 -> 0c971b 192 -11: 193 -> 0c9b6e 193 -11: 194 -> 0ca6cf 194 -11: 195 -> 0caaba 195 -11: 196 -> 0cb250 196 -11: 197 -> 0cbe25 197 -11: 198 -> 0cc567 198 -11: 199 -> 0cc912 199 -11: 19a -> 0cd1f8 19a -11: 19b -> 0cdd8d 19b -11: 19c -> 0ce02c 19c -11: 19d -> 0cec59 19d -11: 19e -> 0cf4b3 19e -11: 19f -> 0cf8c6 19f -11: 1a0 -> 0d0151 1a0 -11: 1a1 -> 0d0d24 1a1 -11: 1a2 -> 0d15ce 1a2 -11: 1a3 -> 0d19bb 1a3 -11: 1a4 -> 0d241a 1a4 -11: 1a5 -> 0d286f 1a5 -11: 1a6 -> 0d3085 1a6 -11: 1a7 -> 0d3cf0 1a7 -11: 1a8 -> 0d47b2 1a8 -11: 1a9 -> 0d4bc7 1a9 -11: 1aa -> 0d532d 1aa -11: 1ab -> 0d5f58 1ab -11: 1ac -> 0d62f9 1ac -11: 1ad -> 0d6e8c 1ad -11: 1ae -> 0d7666 1ae -11: 1af -> 0d7a13 1af -11: 1b0 -> 0d80e2 1b0 -11: 1b1 -> 0d8c97 1b1 -11: 1b2 -> 0d947d 1b2 -11: 1b3 -> 0d9808 1b3 -11: 1b4 -> 0da5a9 1b4 -11: 1b5 -> 0da9dc 1b5 -11: 1b6 -> 0db136 1b6 -11: 1b7 -> 0dbd43 1b7 -11: 1b8 -> 0dc601 1b8 -11: 1b9 -> 0dca74 1b9 -11: 1ba -> 0dd29e 1ba -11: 1bb -> 0ddeeb 1bb -11: 1bc -> 0de34a 1bc -11: 1bd -> 0def3f 1bd -11: 1be -> 0df7d5 1be -11: 1bf -> 0dfba0 1bf -11: 1c0 -> 0e04fb 1c0 -11: 1c1 -> 0e088e 1c1 -11: 1c2 -> 0e1064 1c2 -11: 1c3 -> 0e1c11 1c3 -11: 1c4 -> 0e21b0 1c4 -11: 1c5 -> 0e2dc5 1c5 -11: 1c6 -> 0e352f 1c6 12: 1c7 -> 0e395a 1c7 12: 1c8 -> 0e4218 1c8 12: 1c9 -> 0e4e6d 1c9 @@ -4233,6 +4277,461 @@ 12: 38b -> 1c5b8a 38b 12: 38c -> 1c662b 38c 12: 38d -> 1c6a5e 38d +12: 38e -> 1c72b4 38e +12: 38f -> 1c7ec1 38f +12: 390 -> 1c8430 390 +12: 391 -> 1c8845 391 +12: 392 -> 1c90af 392 +12: 393 -> 1c9cda 393 +12: 394 -> 1ca17b 394 +12: 395 -> 1cad0e 395 +12: 396 -> 1cb5e4 396 +12: 397 -> 1cb991 397 +12: 398 -> 1cc2d3 398 +12: 399 -> 1ccea6 399 +12: 39a -> 1cd64c 39a +12: 39b -> 1cda39 39b +12: 39c -> 1ce798 39c +12: 39d -> 1cebed 39d +12: 39e -> 1cf307 39e +12: 39f -> 1cff72 39f +12: 3a0 -> 1d06e5 3a0 +12: 3a1 -> 1d0a90 3a1 +12: 3a2 -> 1d127a 3a2 +12: 3a3 -> 1d1e0f 3a3 +12: 3a4 -> 1d23ae 3a4 +12: 3a5 -> 1d2fdb 3a5 +12: 3a6 -> 1d3731 3a6 +12: 3a7 -> 1d3b44 3a7 +12: 3a8 -> 1d4006 3a8 +12: 3a9 -> 1d4c73 3a9 +12: 3aa -> 1d5499 3aa +12: 3ab -> 1d58ec 3ab +12: 3ac -> 1d654d 3ac +12: 3ad -> 1d6938 3ad +12: 3ae -> 1d71d2 3ae +12: 3af -> 1d7da7 3af +12: 3b0 -> 1d8756 3b0 +12: 3b1 -> 1d8b23 3b1 +12: 3b2 -> 1d93c9 3b2 +12: 3b3 -> 1d9fbc 3b3 +12: 3b4 -> 1da21d 3b4 +12: 3b5 -> 1dae68 3b5 +12: 3b6 -> 1db682 3b6 +12: 3b7 -> 1dbaf7 3b7 +12: 3b8 -> 1dc1b5 3b8 +12: 3b9 -> 1dcdc0 3b9 +12: 3ba -> 1dd52a 3ba +12: 3bb -> 1dd95f 3bb +12: 3bc -> 1de4fe 3bc +12: 3bd -> 1de88b 3bd +12: 3be -> 1df061 3be +12: 3bf -> 1dfc14 3bf +12: 3c0 -> 1e034f 3c0 +12: 3c1 -> 1e0f3a 3c1 +12: 3c2 -> 1e17d0 3c2 +12: 3c3 -> 1e1ba5 3c3 +12: 3c4 -> 1e2604 3c4 +12: 3c5 -> 1e2a71 3c5 +12: 3c6 -> 1e329b 3c6 +12: 3c7 -> 1e3eee 3c7 +12: 3c8 -> 1e45ac 3c8 +12: 3c9 -> 1e49d9 3c9 +12: 3ca -> 1e5133 3ca +12: 3cb -> 1e5d46 3cb +12: 3cc -> 1e60e7 3cc +12: 3cd -> 1e6c92 3cd +12: 3ce -> 1e7478 3ce +12: 3cf -> 1e780d 3cf +12: 3d0 -> 1e82fc 3d0 +12: 3d1 -> 1e8e89 3d1 +12: 3d2 -> 1e9663 3d2 +12: 3d3 -> 1e9a16 3d3 +12: 3d4 -> 1ea7b7 3d4 +12: 3d5 -> 1eabc2 3d5 +12: 3d6 -> 1eb328 3d6 +12: 3d7 -> 1ebf5d 3d7 +12: 3d8 -> 1ec41f 3d8 +12: 3d9 -> 1ec86a 3d9 +12: 3da -> 1ed080 3da +12: 3db -> 1edcf5 3db +12: 3dc -> 1ee154 3dc +12: 3dd -> 1eed21 3dd +12: 3de -> 1ef5cb 3de +12: 3df -> 1ef9be 3df +12: 3e0 -> 1f0029 3e0 +12: 3e1 -> 1f0c5c 3e1 +12: 3e2 -> 1f14b6 3e2 +12: 3e3 -> 1f18c3 3e3 +12: 3e4 -> 1f2562 3e4 +12: 3e5 -> 1f2917 3e5 +12: 3e6 -> 1f31fd 3e6 +12: 3e7 -> 1f3d88 3e7 +12: 3e8 -> 1f46ca 3e8 +12: 3e9 -> 1f4abf 3e9 +12: 3ea -> 1f5255 3ea +12: 3eb -> 1f5e20 3eb +12: 3ec -> 1f6381 3ec +12: 3ed -> 1f6ff4 3ed +12: 3ee -> 1f771e 3ee +12: 3ef -> 1f7b6b 3ef +12: 3f0 -> 1f819a 3f0 +12: 3f1 -> 1f8def 3f1 +12: 3f2 -> 1f9505 3f2 +12: 3f3 -> 1f9970 3f3 +12: 3f4 -> 1fa4d1 3f4 +12: 3f5 -> 1fa8a4 3f5 +12: 3f6 -> 1fb04e 3f6 +12: 3f7 -> 1fbc3b 3f7 +12: 3f8 -> 1fc779 3f8 +12: 3f9 -> 1fcb0c 3f9 +12: 3fa -> 1fd3e6 3fa +12: 3fb -> 1fdf93 3fb +12: 3fc -> 1fe232 3fc +12: 3fd -> 1fee47 3fd +12: 3fe -> 1ff6ad 3fe +12: 3ff -> 1ffad8 3ff +12: 400 -> 20031d 400 +12: 401 -> 200f68 401 +12: 402 -> 201782 402 +12: 403 -> 201bf7 403 +12: 404 -> 202656 404 +12: 405 -> 202a23 405 +12: 406 -> 2032c9 406 +12: 407 -> 203ebc 407 +12: 408 -> 2045fe 408 +12: 409 -> 20498b 409 +12: 40a -> 205161 40a +12: 40b -> 205d14 40b +12: 40c -> 2060b5 40c +12: 40d -> 206cc0 40d +12: 40e -> 20742a 40e +12: 40f -> 20785f 40f +12: 410 -> 2082ae 410 +12: 411 -> 208edb 411 +12: 412 -> 209631 412 +12: 413 -> 209a44 413 +12: 414 -> 20a7e5 414 +12: 415 -> 20ab90 415 +12: 416 -> 20b37a 416 +12: 417 -> 20bf0f 417 +12: 418 -> 20c44d 418 +12: 419 -> 20c838 419 +12: 41a -> 20d0d2 41a +12: 41b -> 20dca7 41b +12: 41c -> 20e106 41c +12: 41d -> 20ed73 41d +12: 41e -> 20f599 41e +12: 41f -> 20f9ec 41f +12: 420 -> 21007b 420 +12: 421 -> 210c0e 421 +12: 422 -> 2114e4 422 +12: 423 -> 211891 423 +12: 424 -> 212530 424 +12: 425 -> 212945 425 +12: 426 -> 2131af 426 +12: 427 -> 213dda 427 +12: 428 -> 214698 428 +12: 429 -> 214aed 429 +12: 42a -> 215207 42a +12: 42b -> 215e72 42b +12: 42c -> 2163d3 42c +12: 42d -> 216fa6 42d +12: 42e -> 21774c 42e +12: 42f -> 217b39 42f +12: 430 -> 2181c8 430 +12: 431 -> 218dbd 431 +12: 432 -> 219557 432 +12: 433 -> 219922 433 +12: 434 -> 21a483 434 +12: 435 -> 21a8f6 435 +12: 436 -> 21b01c 436 +12: 437 -> 21bc69 437 +12: 438 -> 21c72b 438 +12: 439 -> 21cb5e 439 +12: 43a -> 21d3b4 43a +12: 43b -> 21dfc1 43b +12: 43c -> 21e260 43c +12: 43d -> 21ee15 43d +12: 43e -> 21f6ff 43e +12: 43f -> 21fa8a 43f +12: 440 -> 2205d1 440 +12: 441 -> 2209a4 441 +12: 442 -> 22114e 442 +12: 443 -> 221d3b 443 +12: 444 -> 22209a 444 +12: 445 -> 222cef 445 +12: 446 -> 223405 446 +12: 447 -> 223870 447 +12: 448 -> 224332 448 +12: 449 -> 224f47 449 +12: 44a -> 2257ad 44a +12: 44b -> 225bd8 44b +12: 44c -> 226679 44c +12: 44d -> 226a0c 44d +12: 44e -> 2272e6 44e +12: 44f -> 227e93 44f +12: 450 -> 228462 450 +12: 451 -> 228817 451 +12: 452 -> 2290fd 452 +12: 453 -> 229c88 453 +12: 454 -> 22a129 454 +12: 455 -> 22ad5c 455 +12: 456 -> 22b5b6 456 +12: 457 -> 22b9c3 457 +12: 458 -> 22c281 458 +12: 459 -> 22cef4 459 +12: 45a -> 22d61e 45a +12: 45b -> 22da6b 45b +12: 45c -> 22e7ca 45c +12: 45d -> 22ebbf 45d +12: 45e -> 22f355 45e +12: 45f -> 22ff20 45f +12: 460 -> 2306b7 460 +12: 461 -> 230ac2 461 +12: 462 -> 231228 462 +12: 463 -> 231e5d 463 +12: 464 -> 2323fc 464 +12: 465 -> 232f89 465 +12: 466 -> 233763 466 +12: 467 -> 233b16 467 +12: 468 -> 234054 468 +12: 469 -> 234c21 469 +12: 46a -> 2354cb 46a +12: 46b -> 2358be 46b +12: 46c -> 23651f 46c +12: 46d -> 23696a 46d +12: 46e -> 237180 46e +12: 46f -> 237df5 46f +12: 470 -> 238704 470 +12: 471 -> 238b71 471 +12: 472 -> 23939b 472 +12: 473 -> 239fee 473 +12: 474 -> 23a24f 474 +12: 475 -> 23ae3a 475 +12: 476 -> 23b6d0 476 +12: 477 -> 23baa5 477 +12: 478 -> 23c1e7 478 +12: 479 -> 23cd92 479 +12: 47a -> 23d578 47a +12: 47b -> 23d90d 47b +12: 47c -> 23e4ac 47c +12: 47d -> 23e8d9 47d +12: 47e -> 23f033 47e +12: 47f -> 23fc46 47f +12: 480 -> 2402f0 480 +12: 481 -> 240e85 481 +12: 482 -> 24166f 482 +12: 483 -> 241a1a 483 +12: 484 -> 2427bb 484 +12: 485 -> 242bce 485 +12: 486 -> 243324 486 +12: 487 -> 243f51 487 +12: 488 -> 244413 488 +12: 489 -> 244866 489 +12: 48a -> 24508c 48a +12: 48b -> 245cf9 48b +12: 48c -> 246158 48c +12: 48d -> 246d2d 48d +12: 48e -> 2475c7 48e +12: 48f -> 2479b2 48f +12: 490 -> 248343 490 +12: 491 -> 248f36 491 +12: 492 -> 2497dc 492 +12: 493 -> 249ba9 493 +12: 494 -> 24a608 494 +12: 495 -> 24aa7d 495 +12: 496 -> 24b297 496 +12: 497 -> 24bee2 497 +12: 498 -> 24c5a0 498 +12: 499 -> 24c9d5 499 +12: 49a -> 24d13f 49a +12: 49b -> 24dd4a 49b +12: 49c -> 24e0eb 49c +12: 49d -> 24ec9e 49d +12: 49e -> 24f474 49e +12: 49f -> 24f801 49f +12: 4a0 -> 250196 4a0 +12: 4a1 -> 250de3 4a1 +12: 4a2 -> 251509 4a2 +12: 4a3 -> 25197c 4a3 +12: 4a4 -> 2524dd 4a4 +12: 4a5 -> 2528a8 4a5 +12: 4a6 -> 253042 4a6 +12: 4a7 -> 253c37 4a7 +12: 4a8 -> 254775 4a8 +12: 4a9 -> 254b00 4a9 +12: 4aa -> 2553ea 4aa +12: 4ab -> 255f9f 4ab +12: 4ac -> 25623e 4ac +12: 4ad -> 256e4b 4ad +12: 4ae -> 2576a1 4ae +12: 4af -> 257ad4 4af +12: 4b0 -> 258025 4b0 +12: 4b1 -> 258c50 4b1 +12: 4b2 -> 2594ba 4b2 +12: 4b3 -> 2598cf 4b3 +12: 4b4 -> 25a56e 4b4 +12: 4b5 -> 25a91b 4b5 +12: 4b6 -> 25b1f1 4b6 +12: 4b7 -> 25bd84 4b7 +12: 4b8 -> 25c6c6 4b8 +12: 4b9 -> 25cab3 4b9 +12: 4ba -> 25d259 4ba +12: 4bb -> 25de2c 4bb +12: 4bc -> 25e38d 4bc +12: 4bd -> 25eff8 4bd +12: 4be -> 25f712 4be +12: 4bf -> 25fb67 4bf +12: 4c0 -> 26043c 4c0 +12: 4c1 -> 260849 4c1 +12: 4c2 -> 2610a3 4c2 +12: 4c3 -> 261cd6 4c3 +12: 4c4 -> 262177 4c4 +12: 4c5 -> 262d02 4c5 +12: 4c6 -> 2635e8 4c6 +12: 4c7 -> 26399d 4c7 +12: 4c8 -> 2642df 4c8 +12: 4c9 -> 264eaa 4c9 +12: 4ca -> 265640 4ca +12: 4cb -> 265a35 4cb +12: 4cc -> 266794 4cc +12: 4cd -> 266be1 4cd +12: 4ce -> 26730b 4ce +12: 4cf -> 267f7e 4cf +12: 4d0 -> 26858f 4d0 +12: 4d1 -> 2689fa 4d1 +12: 4d2 -> 269110 4d2 +12: 4d3 -> 269d65 4d3 +12: 4d4 -> 26a0c4 4d4 +12: 4d5 -> 26acb1 4d5 +12: 4d6 -> 26b45b 4d6 +12: 4d7 -> 26b82e 4d7 +12: 4d8 -> 26c36c 4d8 +12: 4d9 -> 26cf19 4d9 +12: 4da -> 26d7f3 4da +12: 4db -> 26db86 4db +12: 4dc -> 26e627 4dc +12: 4dd -> 26ea52 4dd +12: 4de -> 26f2b8 4de +12: 4df -> 26fecd 4df +12: 4e0 -> 27075a 4e0 +12: 4e1 -> 270b2f 4e1 +12: 4e2 -> 2713c5 4e2 +12: 4e3 -> 271fb0 4e3 +12: 4e4 -> 272211 4e4 +12: 4e5 -> 272e64 4e5 +12: 4e6 -> 27368e 4e6 +12: 4e7 -> 273afb 4e7 +12: 4e8 -> 2741b9 4e8 +12: 4e9 -> 274dcc 4e9 +12: 4ea -> 275526 4ea +12: 4eb -> 275953 4eb +12: 4ec -> 2764f2 4ec +12: 4ed -> 276887 4ed +12: 4ee -> 27706d 4ee +12: 4ef -> 277c18 4ef +12: 4f0 -> 2786e9 4f0 +12: 4f1 -> 278a9c 4f1 +12: 4f2 -> 279276 4f2 +12: 4f3 -> 279e03 4f3 +12: 4f4 -> 27a3a2 4f4 +12: 4f5 -> 27afd7 4f5 +12: 4f6 -> 27b73d 4f6 +12: 4f7 -> 27bb48 4f7 +12: 4f8 -> 27c00a 4f8 +12: 4f9 -> 27cc7f 4f9 +12: 4fa -> 27d495 4fa +12: 4fb -> 27d8e0 4fb +12: 4fc -> 27e541 4fc +12: 4fd -> 27e934 4fd +12: 4fe -> 27f1de 4fe +12: 4ff -> 27fdab 4ff +12: 500 -> 2800c7 500 +12: 501 -> 280cb2 501 +12: 502 -> 281458 502 +12: 503 -> 28182d 503 +12: 504 -> 28258c 504 +12: 505 -> 2829f9 505 +12: 506 -> 283113 506 +12: 507 -> 283d66 507 +12: 508 -> 284624 508 +12: 509 -> 284a51 509 +12: 50a -> 2852bb 50a +12: 50b -> 285ece 50b +12: 50c -> 28636f 50c +12: 50d -> 286f1a 50d +12: 50e -> 2877f0 50e +12: 50f -> 287b85 50f +12: 510 -> 288174 510 +12: 511 -> 288d01 511 +12: 512 -> 2895eb 512 +12: 513 -> 28999e 513 +12: 514 -> 28a43f 514 +12: 515 -> 28a84a 515 +12: 516 -> 28b0a0 516 +12: 517 -> 28bcd5 517 +12: 518 -> 28c797 518 +12: 519 -> 28cbe2 519 +12: 51a -> 28d308 51a +12: 51b -> 28df7d 51b +12: 51c -> 28e2dc 51c +12: 51d -> 28eea9 51d +12: 51e -> 28f643 51e +12: 51f -> 28fa36 51f +12: 520 -> 2903a1 520 +12: 521 -> 290fd4 521 +12: 522 -> 29173e 522 +12: 523 -> 291b4b 523 +12: 524 -> 2926ea 524 +12: 525 -> 292a9f 525 +12: 526 -> 293275 526 +12: 527 -> 293e00 527 +12: 528 -> 294542 528 +12: 529 -> 294937 529 +12: 52a -> 2951dd 52a +12: 52b -> 295da8 52b +12: 52c -> 296009 52c +12: 52d -> 296c7c 52d +12: 52e -> 297496 52e +12: 52f -> 2978e3 52f +12: 530 -> 298212 530 +12: 531 -> 298e67 531 +12: 532 -> 29968d 532 +12: 533 -> 299af8 533 +12: 534 -> 29a759 534 +12: 535 -> 29ab2c 535 +12: 536 -> 29b3c6 536 +12: 537 -> 29bfb3 537 +12: 538 -> 29c4f1 538 +12: 539 -> 29c884 539 +12: 53a -> 29d06e 53a +12: 53b -> 29dc1b 53b +12: 53c -> 29e1ba 53c +12: 53d -> 29edcf 53d +12: 53e -> 29f525 53e +12: 53f -> 29f950 53f +12: 540 -> 2a060b 540 +12: 541 -> 2a0a7e 541 +12: 542 -> 2a1294 542 +12: 543 -> 2a1ee1 543 +12: 544 -> 2a2340 544 +12: 545 -> 2a2f35 545 +12: 546 -> 2a37df 546 +12: 547 -> 2a3baa 547 +12: 548 -> 2a40e8 548 +12: 549 -> 2a4c9d 549 +12: 54a -> 2a5477 54a +12: 54b -> 2a5802 54b +12: 54c -> 2a65a3 54c +12: 54d -> 2a69d6 54d +12: 54e -> 2a713c 54e +12: 54f -> 2a7d49 54f +12: 550 -> 2a87b8 550 +12: 551 -> 2a8bcd 551 +12: 552 -> 2a9327 552 +12: 553 -> 2a9f52 553 +12: 554 -> 2aa2f3 554 11: 2aa -> 155743 2aa 11: 2ab -> 155b36 2ab 11: 2ac -> 156697 2ac @@ -4688,233 +5187,236 @@ 11: 46e -> 237180 46e 11: 46f -> 237df5 46f 11: 470 -> 238704 470 -12: 38e -> 1c72b4 38e -12: 38f -> 1c7ec1 38f -12: 390 -> 1c8430 390 -12: 391 -> 1c8845 391 -12: 392 -> 1c90af 392 -12: 393 -> 1c9cda 393 -12: 394 -> 1ca17b 394 -12: 395 -> 1cad0e 395 -12: 396 -> 1cb5e4 396 -12: 397 -> 1cb991 397 -12: 398 -> 1cc2d3 398 -12: 399 -> 1ccea6 399 -12: 39a -> 1cd64c 39a -12: 39b -> 1cda39 39b -12: 39c -> 1ce798 39c -12: 39d -> 1cebed 39d -12: 39e -> 1cf307 39e -12: 39f -> 1cff72 39f -12: 3a0 -> 1d06e5 3a0 -12: 3a1 -> 1d0a90 3a1 -12: 3a2 -> 1d127a 3a2 -12: 3a3 -> 1d1e0f 3a3 -12: 3a4 -> 1d23ae 3a4 -12: 3a5 -> 1d2fdb 3a5 -12: 3a6 -> 1d3731 3a6 -12: 3a7 -> 1d3b44 3a7 -12: 3a8 -> 1d4006 3a8 -12: 3a9 -> 1d4c73 3a9 -12: 3aa -> 1d5499 3aa -12: 3ab -> 1d58ec 3ab -12: 3ac -> 1d654d 3ac -12: 3ad -> 1d6938 3ad -12: 3ae -> 1d71d2 3ae -12: 3af -> 1d7da7 3af -12: 3b0 -> 1d8756 3b0 -12: 3b1 -> 1d8b23 3b1 -12: 3b2 -> 1d93c9 3b2 -12: 3b3 -> 1d9fbc 3b3 -12: 3b4 -> 1da21d 3b4 -12: 3b5 -> 1dae68 3b5 -12: 3b6 -> 1db682 3b6 -12: 3b7 -> 1dbaf7 3b7 -12: 3b8 -> 1dc1b5 3b8 -12: 3b9 -> 1dcdc0 3b9 -12: 3ba -> 1dd52a 3ba -12: 3bb -> 1dd95f 3bb -12: 3bc -> 1de4fe 3bc -12: 3bd -> 1de88b 3bd -12: 3be -> 1df061 3be -12: 3bf -> 1dfc14 3bf -12: 3c0 -> 1e034f 3c0 -12: 3c1 -> 1e0f3a 3c1 -12: 3c2 -> 1e17d0 3c2 -12: 3c3 -> 1e1ba5 3c3 -12: 3c4 -> 1e2604 3c4 -12: 3c5 -> 1e2a71 3c5 -12: 3c6 -> 1e329b 3c6 -12: 3c7 -> 1e3eee 3c7 -12: 3c8 -> 1e45ac 3c8 -12: 3c9 -> 1e49d9 3c9 -12: 3ca -> 1e5133 3ca -12: 3cb -> 1e5d46 3cb -12: 3cc -> 1e60e7 3cc -12: 3cd -> 1e6c92 3cd -12: 3ce -> 1e7478 3ce -12: 3cf -> 1e780d 3cf -12: 3d0 -> 1e82fc 3d0 -12: 3d1 -> 1e8e89 3d1 -12: 3d2 -> 1e9663 3d2 -12: 3d3 -> 1e9a16 3d3 -12: 3d4 -> 1ea7b7 3d4 -12: 3d5 -> 1eabc2 3d5 -12: 3d6 -> 1eb328 3d6 -12: 3d7 -> 1ebf5d 3d7 -12: 3d8 -> 1ec41f 3d8 -12: 3d9 -> 1ec86a 3d9 -12: 3da -> 1ed080 3da -12: 3db -> 1edcf5 3db -12: 3dc -> 1ee154 3dc -12: 3dd -> 1eed21 3dd -12: 3de -> 1ef5cb 3de -12: 3df -> 1ef9be 3df -12: 3e0 -> 1f0029 3e0 -12: 3e1 -> 1f0c5c 3e1 -12: 3e2 -> 1f14b6 3e2 -12: 3e3 -> 1f18c3 3e3 -12: 3e4 -> 1f2562 3e4 -12: 3e5 -> 1f2917 3e5 -12: 3e6 -> 1f31fd 3e6 -12: 3e7 -> 1f3d88 3e7 -12: 3e8 -> 1f46ca 3e8 -12: 3e9 -> 1f4abf 3e9 -12: 3ea -> 1f5255 3ea -12: 3eb -> 1f5e20 3eb -12: 3ec -> 1f6381 3ec -12: 3ed -> 1f6ff4 3ed -12: 3ee -> 1f771e 3ee -12: 3ef -> 1f7b6b 3ef -12: 3f0 -> 1f819a 3f0 -12: 3f1 -> 1f8def 3f1 -12: 3f2 -> 1f9505 3f2 -12: 3f3 -> 1f9970 3f3 -12: 3f4 -> 1fa4d1 3f4 -12: 3f5 -> 1fa8a4 3f5 -12: 3f6 -> 1fb04e 3f6 -12: 3f7 -> 1fbc3b 3f7 -12: 3f8 -> 1fc779 3f8 -12: 3f9 -> 1fcb0c 3f9 -12: 3fa -> 1fd3e6 3fa -12: 3fb -> 1fdf93 3fb -12: 3fc -> 1fe232 3fc -12: 3fd -> 1fee47 3fd -12: 3fe -> 1ff6ad 3fe -12: 3ff -> 1ffad8 3ff -12: 400 -> 20031d 400 -12: 401 -> 200f68 401 -12: 402 -> 201782 402 -12: 403 -> 201bf7 403 -12: 404 -> 202656 404 -12: 405 -> 202a23 405 -12: 406 -> 2032c9 406 -12: 407 -> 203ebc 407 -12: 408 -> 2045fe 408 -12: 409 -> 20498b 409 -12: 40a -> 205161 40a -12: 40b -> 205d14 40b -12: 40c -> 2060b5 40c -12: 40d -> 206cc0 40d -12: 40e -> 20742a 40e -12: 40f -> 20785f 40f -12: 410 -> 2082ae 410 -12: 411 -> 208edb 411 -12: 412 -> 209631 412 -12: 413 -> 209a44 413 -12: 414 -> 20a7e5 414 -12: 415 -> 20ab90 415 -12: 416 -> 20b37a 416 -12: 417 -> 20bf0f 417 -12: 418 -> 20c44d 418 -12: 419 -> 20c838 419 -12: 41a -> 20d0d2 41a -12: 41b -> 20dca7 41b -12: 41c -> 20e106 41c -12: 41d -> 20ed73 41d -12: 41e -> 20f599 41e -12: 41f -> 20f9ec 41f -12: 420 -> 21007b 420 -12: 421 -> 210c0e 421 -12: 422 -> 2114e4 422 -12: 423 -> 211891 423 -12: 424 -> 212530 424 -12: 425 -> 212945 425 -12: 426 -> 2131af 426 -12: 427 -> 213dda 427 -12: 428 -> 214698 428 -12: 429 -> 214aed 429 -12: 42a -> 215207 42a -12: 42b -> 215e72 42b -12: 42c -> 2163d3 42c -12: 42d -> 216fa6 42d -12: 42e -> 21774c 42e -12: 42f -> 217b39 42f -12: 430 -> 2181c8 430 -12: 431 -> 218dbd 431 -12: 432 -> 219557 432 -12: 433 -> 219922 433 -12: 434 -> 21a483 434 -12: 435 -> 21a8f6 435 -12: 436 -> 21b01c 436 -12: 437 -> 21bc69 437 -12: 438 -> 21c72b 438 -12: 439 -> 21cb5e 439 -12: 43a -> 21d3b4 43a -12: 43b -> 21dfc1 43b -12: 43c -> 21e260 43c -12: 43d -> 21ee15 43d -12: 43e -> 21f6ff 43e -12: 43f -> 21fa8a 43f -12: 440 -> 2205d1 440 -12: 441 -> 2209a4 441 -12: 442 -> 22114e 442 -12: 443 -> 221d3b 443 -12: 444 -> 22209a 444 -12: 445 -> 222cef 445 -12: 446 -> 223405 446 -12: 447 -> 223870 447 -12: 448 -> 224332 448 -12: 449 -> 224f47 449 -12: 44a -> 2257ad 44a -12: 44b -> 225bd8 44b -12: 44c -> 226679 44c -12: 44d -> 226a0c 44d -12: 44e -> 2272e6 44e -12: 44f -> 227e93 44f -12: 450 -> 228462 450 -12: 451 -> 228817 451 -12: 452 -> 2290fd 452 -12: 453 -> 229c88 453 -12: 454 -> 22a129 454 -12: 455 -> 22ad5c 455 -12: 456 -> 22b5b6 456 -12: 457 -> 22b9c3 457 -12: 458 -> 22c281 458 -12: 459 -> 22cef4 459 -12: 45a -> 22d61e 45a -12: 45b -> 22da6b 45b -12: 45c -> 22e7ca 45c -12: 45d -> 22ebbf 45d -12: 45e -> 22f355 45e -12: 45f -> 22ff20 45f -12: 460 -> 2306b7 460 -12: 461 -> 230ac2 461 -12: 462 -> 231228 462 -12: 463 -> 231e5d 463 -12: 464 -> 2323fc 464 -12: 465 -> 232f89 465 -12: 466 -> 233763 466 -12: 467 -> 233b16 467 -12: 468 -> 234054 468 -12: 469 -> 234c21 469 -12: 46a -> 2354cb 46a -12: 46b -> 2358be 46b -12: 46c -> 23651f 46c -12: 46d -> 23696a 46d -12: 46e -> 237180 46e -12: 46f -> 237df5 46f -12: 470 -> 238704 470 +12: 555 -> 2aae86 555 +12: 556 -> 2ab66c 556 +12: 557 -> 2aba19 557 +12: 558 -> 2ac15b 558 +12: 559 -> 2acd2e 559 +12: 55a -> 2ad5c4 55a +12: 55b -> 2ad9b1 55b +12: 55c -> 2ae410 55c +12: 55d -> 2ae865 55d +12: 55e -> 2af08f 55e +12: 55f -> 2afcfa 55f +12: 560 -> 2b056d 560 +12: 561 -> 2b0918 561 +12: 562 -> 2b11f2 562 +12: 563 -> 2b1d87 563 +12: 564 -> 2b2026 564 +12: 565 -> 2b2c53 565 +12: 566 -> 2b34b9 566 +12: 567 -> 2b38cc 567 +12: 568 -> 2b438e 568 +12: 569 -> 2b4ffb 569 +12: 56a -> 2b5711 56a +12: 56b -> 2b5b64 56b +12: 56c -> 2b66c5 56c +12: 56d -> 2b6ab0 56d +12: 56e -> 2b725a 56e +12: 56f -> 2b7e2f 56f +12: 570 -> 2b84de 570 +12: 571 -> 2b88ab 571 +12: 572 -> 2b9041 572 +12: 573 -> 2b9c34 573 +12: 574 -> 2ba195 574 +12: 575 -> 2bade0 575 +12: 576 -> 2bb50a 576 +12: 577 -> 2bb97f 577 +12: 578 -> 2bc23d 578 +12: 579 -> 2bce48 579 +12: 57a -> 2bd6a2 57a +12: 57b -> 2bdad7 57b +12: 57c -> 2be776 57c +12: 57d -> 2beb03 57d +12: 57e -> 2bf3e9 57e +12: 57f -> 2bff9c 57f +12: 580 -> 2c012a 580 +12: 581 -> 2c0d5f 581 +12: 582 -> 2c15b5 582 +12: 583 -> 2c19c0 583 +12: 584 -> 2c2461 584 +12: 585 -> 2c2814 585 +12: 586 -> 2c30fe 586 +12: 587 -> 2c3c8b 587 +12: 588 -> 2c47c9 588 +12: 589 -> 2c4bbc 589 +12: 58a -> 2c5356 58a +12: 58b -> 2c5f23 58b +12: 58c -> 2c6282 58c +12: 58d -> 2c6ef7 58d +12: 58e -> 2c761d 58e +12: 58f -> 2c7a68 58f +12: 590 -> 2c8099 590 +12: 591 -> 2c8cec 591 +12: 592 -> 2c9406 592 +12: 593 -> 2c9873 593 +12: 594 -> 2ca5d2 594 +12: 595 -> 2ca9a7 595 +12: 596 -> 2cb14d 596 +12: 597 -> 2cbd38 597 +12: 598 -> 2cc67a 598 +12: 599 -> 2cca0f 599 +12: 59a -> 2cd2e5 59a +12: 59b -> 2cde90 59b +12: 59c -> 2ce331 59c +12: 59d -> 2cef44 59d +12: 59e -> 2cf7ae 59e +12: 59f -> 2cfbdb 59f +12: 5a0 -> 2d024c 5a0 +12: 5a1 -> 2d0e39 5a1 +12: 5a2 -> 2d16d3 5a2 +12: 5a3 -> 2d1aa6 5a3 +12: 5a4 -> 2d2707 5a4 +12: 5a5 -> 2d2b72 5a5 +12: 5a6 -> 2d3398 5a6 +12: 5a7 -> 2d3fed 5a7 +12: 5a8 -> 2d44af 5a8 +12: 5a9 -> 2d48da 5a9 +12: 5aa -> 2d5030 5aa +12: 5ab -> 2d5c45 5ab +12: 5ac -> 2d61e4 5ac +12: 5ad -> 2d6d91 5ad +12: 5ae -> 2d757b 5ae +12: 5af -> 2d790e 5af +12: 5b0 -> 2d83ff 5b0 +12: 5b1 -> 2d8f8a 5b1 +12: 5b2 -> 2d9760 5b2 +12: 5b3 -> 2d9b15 5b3 +12: 5b4 -> 2da6b4 5b4 +12: 5b5 -> 2daac1 5b5 +12: 5b6 -> 2db22b 5b6 +12: 5b7 -> 2dbe5e 5b7 +12: 5b8 -> 2dc51c 5b8 +12: 5b9 -> 2dc969 5b9 +12: 5ba -> 2dd183 5ba +12: 5bb -> 2dddf6 5bb +12: 5bc -> 2de057 5bc +12: 5bd -> 2dec22 5bd +12: 5be -> 2df4c8 5be +12: 5bf -> 2df8bd 5bf +12: 5c0 -> 2e07e6 5c0 +12: 5c1 -> 2e0b93 5c1 +12: 5c2 -> 2e1379 5c2 +12: 5c3 -> 2e1f0c 5c3 +12: 5c4 -> 2e22ad 5c4 +12: 5c5 -> 2e2ed8 5c5 +12: 5c6 -> 2e3632 5c6 +12: 5c7 -> 2e3a47 5c7 +12: 5c8 -> 2e4105 5c8 +12: 5c9 -> 2e4d70 5c9 +12: 5ca -> 2e559a 5ca +12: 5cb -> 2e59ef 5cb +12: 5cc -> 2e644e 5cc +12: 5cd -> 2e683b 5cd +12: 5ce -> 2e70d1 5ce +12: 5cf -> 2e7ca4 5cf +12: 5d0 -> 2e8655 5d0 +12: 5d1 -> 2e8a20 5d1 +12: 5d2 -> 2e92ca 5d2 +12: 5d3 -> 2e9ebf 5d3 +12: 5d4 -> 2ea31e 5d4 +12: 5d5 -> 2eaf6b 5d5 +12: 5d6 -> 2eb781 5d6 +12: 5d7 -> 2ebbf4 5d7 +12: 5d8 -> 2ec0b6 5d8 +12: 5d9 -> 2eccc3 5d9 +12: 5da -> 2ed429 5da +12: 5db -> 2ed85c 5db +12: 5dc -> 2ee5fd 5dc +12: 5dd -> 2ee988 5dd +12: 5de -> 2ef162 5de +12: 5df -> 2efd17 5df +12: 5e0 -> 2f0480 5e0 +12: 5e1 -> 2f08f5 5e1 +12: 5e2 -> 2f101f 5e2 +12: 5e3 -> 2f1c6a 5e3 +12: 5e4 -> 2f21cb 5e4 +12: 5e5 -> 2f2dbe 5e5 +12: 5e6 -> 2f3554 5e6 +12: 5e7 -> 2f3921 5e7 +12: 5e8 -> 2f4263 5e8 +12: 5e9 -> 2f4e16 5e9 +12: 5ea -> 2f56fc 5ea +12: 5eb -> 2f5a89 5eb +12: 5ec -> 2f6728 5ec +12: 5ed -> 2f6b5d 5ed +12: 5ee -> 2f73b7 5ee +12: 5ef -> 2f7fc2 5ef +12: 5f0 -> 2f8533 5f0 +12: 5f1 -> 2f8946 5f1 +12: 5f2 -> 2f91ac 5f2 +12: 5f3 -> 2f9dd9 5f3 +12: 5f4 -> 2fa078 5f4 +12: 5f5 -> 2fac0d 5f5 +12: 5f6 -> 2fb4e7 5f6 +12: 5f7 -> 2fb892 5f7 +12: 5f8 -> 2fc3d0 5f8 +12: 5f9 -> 2fcfa5 5f9 +12: 5fa -> 2fd74f 5fa +12: 5fb -> 2fdb3a 5fb +12: 5fc -> 2fe69b 5fc +12: 5fd -> 2feaee 5fd +12: 5fe -> 2ff204 5fe +12: 5ff -> 2ffe71 5ff +12: 600 -> 3004a9 600 +12: 601 -> 3008dc 601 +12: 602 -> 301036 602 +12: 603 -> 301c43 603 +12: 604 -> 3021e2 604 +12: 605 -> 302d97 605 +12: 606 -> 30357d 606 +12: 607 -> 303908 607 +12: 608 -> 30424a 608 +12: 609 -> 304e3f 609 +12: 60a -> 3056d5 60a +12: 60b -> 305aa0 60b +12: 60c -> 306701 60c +12: 60d -> 306b74 60d +12: 60e -> 30739e 60e +12: 60f -> 307feb 60f +12: 610 -> 30851a 610 +12: 611 -> 30896f 611 +12: 612 -> 309185 612 +12: 613 -> 309df0 613 +12: 614 -> 30a051 614 +12: 615 -> 30ac24 615 +12: 616 -> 30b4ce 616 +12: 617 -> 30b8bb 617 +12: 618 -> 30c3f9 618 +12: 619 -> 30cf8c 619 +12: 61a -> 30d766 61a +12: 61b -> 30db13 61b +12: 61c -> 30e6b2 61c +12: 61d -> 30eac7 61d +12: 61e -> 30f22d 61e +12: 61f -> 30fe58 61f +12: 620 -> 3107cf 620 +12: 621 -> 310bba 621 +12: 622 -> 311350 622 +12: 623 -> 311f25 623 +12: 624 -> 312284 624 +12: 625 -> 312ef1 625 +12: 626 -> 31361b 626 +12: 627 -> 313a6e 627 +12: 628 -> 31412c 628 +12: 629 -> 314d59 629 +12: 62a -> 3155b3 62a +12: 62b -> 3159c6 62b +12: 62c -> 316467 62c +12: 62d -> 316812 62d +12: 62e -> 3170f8 62e +12: 62f -> 317c8d 62f +12: 630 -> 31867c 630 +12: 631 -> 318a09 631 +12: 632 -> 3192e3 632 +12: 633 -> 319e96 633 +12: 634 -> 31a337 634 +12: 635 -> 31af42 635 +12: 636 -> 31b7a8 636 +12: 637 -> 31bbdd 637 +13: ch: SNR3k(dB): 85.23 C/No....: 120.00 +13: ch: peak.....: 10038.18 RMS.....: 9993.49 CPAPR.....: 0.04 +13: ch: Nsamples.: 80000 clipped.: 0.00% OutClipped: 0.00% 11: 471 -> 238b71 471 11: 472 -> 23939b 472 11: 473 -> 239fee 473 @@ -5143,688 +5645,18 @@ 11: 552 -> 2a9327 552 11: 553 -> 2a9f52 553 11: 554 -> 2aa2f3 554 -12: 471 -> 238b71 471 -12: 472 -> 23939b 472 -12: 473 -> 239fee 473 -12: 474 -> 23a24f 474 -12: 475 -> 23ae3a 475 -12: 476 -> 23b6d0 476 -12: 477 -> 23baa5 477 -12: 478 -> 23c1e7 478 -12: 479 -> 23cd92 479 -12: 47a -> 23d578 47a -12: 47b -> 23d90d 47b -12: 47c -> 23e4ac 47c -12: 47d -> 23e8d9 47d -12: 47e -> 23f033 47e -12: 47f -> 23fc46 47f -12: 480 -> 2402f0 480 -12: 481 -> 240e85 481 -12: 482 -> 24166f 482 -12: 483 -> 241a1a 483 -12: 484 -> 2427bb 484 -12: 485 -> 242bce 485 -12: 486 -> 243324 486 -12: 487 -> 243f51 487 -12: 488 -> 244413 488 -12: 489 -> 244866 489 -12: 48a -> 24508c 48a -12: 48b -> 245cf9 48b -12: 48c -> 246158 48c -12: 48d -> 246d2d 48d -12: 48e -> 2475c7 48e -12: 48f -> 2479b2 48f -12: 490 -> 248343 490 -12: 491 -> 248f36 491 -12: 492 -> 2497dc 492 -12: 493 -> 249ba9 493 -12: 494 -> 24a608 494 -12: 495 -> 24aa7d 495 -12: 496 -> 24b297 496 -12: 497 -> 24bee2 497 -12: 498 -> 24c5a0 498 -12: 499 -> 24c9d5 499 -12: 49a -> 24d13f 49a -12: 49b -> 24dd4a 49b -12: 49c -> 24e0eb 49c -12: 49d -> 24ec9e 49d -12: 49e -> 24f474 49e -12: 49f -> 24f801 49f -12: 4a0 -> 250196 4a0 -12: 4a1 -> 250de3 4a1 -12: 4a2 -> 251509 4a2 -12: 4a3 -> 25197c 4a3 -12: 4a4 -> 2524dd 4a4 -12: 4a5 -> 2528a8 4a5 -12: 4a6 -> 253042 4a6 -12: 4a7 -> 253c37 4a7 -12: 4a8 -> 254775 4a8 -12: 4a9 -> 254b00 4a9 -12: 4aa -> 2553ea 4aa -12: 4ab -> 255f9f 4ab -12: 4ac -> 25623e 4ac -12: 4ad -> 256e4b 4ad -12: 4ae -> 2576a1 4ae -12: 4af -> 257ad4 4af -12: 4b0 -> 258025 4b0 -12: 4b1 -> 258c50 4b1 -12: 4b2 -> 2594ba 4b2 -12: 4b3 -> 2598cf 4b3 -12: 4b4 -> 25a56e 4b4 -12: 4b5 -> 25a91b 4b5 -12: 4b6 -> 25b1f1 4b6 -12: 4b7 -> 25bd84 4b7 -12: 4b8 -> 25c6c6 4b8 -12: 4b9 -> 25cab3 4b9 -12: 4ba -> 25d259 4ba -12: 4bb -> 25de2c 4bb -12: 4bc -> 25e38d 4bc -12: 4bd -> 25eff8 4bd -12: 4be -> 25f712 4be -12: 4bf -> 25fb67 4bf -12: 4c0 -> 26043c 4c0 -12: 4c1 -> 260849 4c1 -12: 4c2 -> 2610a3 4c2 -12: 4c3 -> 261cd6 4c3 -12: 4c4 -> 262177 4c4 -12: 4c5 -> 262d02 4c5 -12: 4c6 -> 2635e8 4c6 -12: 4c7 -> 26399d 4c7 -12: 4c8 -> 2642df 4c8 -12: 4c9 -> 264eaa 4c9 -12: 4ca -> 265640 4ca -12: 4cb -> 265a35 4cb -12: 4cc -> 266794 4cc -12: 4cd -> 266be1 4cd -12: 4ce -> 26730b 4ce -12: 4cf -> 267f7e 4cf -12: 4d0 -> 26858f 4d0 -12: 4d1 -> 2689fa 4d1 -12: 4d2 -> 269110 4d2 -12: 4d3 -> 269d65 4d3 -12: 4d4 -> 26a0c4 4d4 -12: 4d5 -> 26acb1 4d5 -12: 4d6 -> 26b45b 4d6 -12: 4d7 -> 26b82e 4d7 -12: 4d8 -> 26c36c 4d8 -12: 4d9 -> 26cf19 4d9 -12: 4da -> 26d7f3 4da -12: 4db -> 26db86 4db -12: 4dc -> 26e627 4dc -12: 4dd -> 26ea52 4dd -12: 4de -> 26f2b8 4de -12: 4df -> 26fecd 4df -12: 4e0 -> 27075a 4e0 -12: 4e1 -> 270b2f 4e1 -12: 4e2 -> 2713c5 4e2 -12: 4e3 -> 271fb0 4e3 -12: 4e4 -> 272211 4e4 -12: 4e5 -> 272e64 4e5 -12: 4e6 -> 27368e 4e6 -12: 4e7 -> 273afb 4e7 -12: 4e8 -> 2741b9 4e8 -12: 4e9 -> 274dcc 4e9 -12: 4ea -> 275526 4ea -12: 4eb -> 275953 4eb -12: 4ec -> 2764f2 4ec -12: 4ed -> 276887 4ed -12: 4ee -> 27706d 4ee -12: 4ef -> 277c18 4ef -12: 4f0 -> 2786e9 4f0 -12: 4f1 -> 278a9c 4f1 -12: 4f2 -> 279276 4f2 -12: 4f3 -> 279e03 4f3 -12: 4f4 -> 27a3a2 4f4 -12: 4f5 -> 27afd7 4f5 -12: 4f6 -> 27b73d 4f6 -12: 4f7 -> 27bb48 4f7 -12: 4f8 -> 27c00a 4f8 -12: 4f9 -> 27cc7f 4f9 -12: 4fa -> 27d495 4fa -12: 4fb -> 27d8e0 4fb -12: 4fc -> 27e541 4fc -12: 4fd -> 27e934 4fd -12: 4fe -> 27f1de 4fe -12: 4ff -> 27fdab 4ff -12: 500 -> 2800c7 500 -12: 501 -> 280cb2 501 -12: 502 -> 281458 502 -12: 503 -> 28182d 503 -12: 504 -> 28258c 504 -12: 505 -> 2829f9 505 -12: 506 -> 283113 506 -12: 507 -> 283d66 507 -12: 508 -> 284624 508 -12: 509 -> 284a51 509 -12: 50a -> 2852bb 50a -12: 50b -> 285ece 50b -12: 50c -> 28636f 50c -12: 50d -> 286f1a 50d -12: 50e -> 2877f0 50e -12: 50f -> 287b85 50f -12: 510 -> 288174 510 -12: 511 -> 288d01 511 -12: 512 -> 2895eb 512 -12: 513 -> 28999e 513 -12: 514 -> 28a43f 514 -12: 515 -> 28a84a 515 -12: 516 -> 28b0a0 516 -12: 517 -> 28bcd5 517 -12: 518 -> 28c797 518 -12: 519 -> 28cbe2 519 -12: 51a -> 28d308 51a -12: 51b -> 28df7d 51b -12: 51c -> 28e2dc 51c -12: 51d -> 28eea9 51d -12: 51e -> 28f643 51e -12: 51f -> 28fa36 51f -12: 520 -> 2903a1 520 -12: 521 -> 290fd4 521 -12: 522 -> 29173e 522 -12: 523 -> 291b4b 523 -12: 524 -> 2926ea 524 -12: 525 -> 292a9f 525 -12: 526 -> 293275 526 -12: 527 -> 293e00 527 -12: 528 -> 294542 528 -12: 529 -> 294937 529 -12: 52a -> 2951dd 52a -12: 52b -> 295da8 52b -12: 52c -> 296009 52c -12: 52d -> 296c7c 52d -12: 52e -> 297496 52e -12: 52f -> 2978e3 52f -12: 530 -> 298212 530 -12: 531 -> 298e67 531 -12: 532 -> 29968d 532 -12: 533 -> 299af8 533 -12: 534 -> 29a759 534 -12: 535 -> 29ab2c 535 -12: 536 -> 29b3c6 536 -12: 537 -> 29bfb3 537 -12: 538 -> 29c4f1 538 -12: 539 -> 29c884 539 -12: 53a -> 29d06e 53a -12: 53b -> 29dc1b 53b -12: 53c -> 29e1ba 53c -12: 53d -> 29edcf 53d -12: 53e -> 29f525 53e -12: 53f -> 29f950 53f -12: 540 -> 2a060b 540 -12: 541 -> 2a0a7e 541 -12: 542 -> 2a1294 542 -12: 543 -> 2a1ee1 543 -12: 544 -> 2a2340 544 -12: 545 -> 2a2f35 545 -12: 546 -> 2a37df 546 -12: 547 -> 2a3baa 547 -12: 548 -> 2a40e8 548 -12: 549 -> 2a4c9d 549 -12: 54a -> 2a5477 54a -12: 54b -> 2a5802 54b -12: 54c -> 2a65a3 54c -12: 54d -> 2a69d6 54d -12: 54e -> 2a713c 54e -12: 54f -> 2a7d49 54f -12: 550 -> 2a87b8 550 -12: 551 -> 2a8bcd 551 -12: 552 -> 2a9327 552 -12: 553 -> 2a9f52 553 -12: 554 -> 2aa2f3 554 -11: 555 -> 2aae86 555 -11: 556 -> 2ab66c 556 -11: 557 -> 2aba19 557 -11: 558 -> 2ac15b 558 -11: 559 -> 2acd2e 559 -11: 55a -> 2ad5c4 55a -11: 55b -> 2ad9b1 55b -11: 55c -> 2ae410 55c -11: 55d -> 2ae865 55d -11: 55e -> 2af08f 55e -11: 55f -> 2afcfa 55f -11: 560 -> 2b056d 560 -11: 561 -> 2b0918 561 -11: 562 -> 2b11f2 562 -11: 563 -> 2b1d87 563 -11: 564 -> 2b2026 564 -11: 565 -> 2b2c53 565 -11: 566 -> 2b34b9 566 -11: 567 -> 2b38cc 567 -11: 568 -> 2b438e 568 -11: 569 -> 2b4ffb 569 -11: 56a -> 2b5711 56a -11: 56b -> 2b5b64 56b -11: 56c -> 2b66c5 56c -11: 56d -> 2b6ab0 56d -11: 56e -> 2b725a 56e -11: 56f -> 2b7e2f 56f -11: 570 -> 2b84de 570 -11: 571 -> 2b88ab 571 -11: 572 -> 2b9041 572 -11: 573 -> 2b9c34 573 -11: 574 -> 2ba195 574 -11: 575 -> 2bade0 575 -11: 576 -> 2bb50a 576 -11: 577 -> 2bb97f 577 -11: 578 -> 2bc23d 578 -11: 579 -> 2bce48 579 -11: 57a -> 2bd6a2 57a -11: 57b -> 2bdad7 57b -11: 57c -> 2be776 57c -11: 57d -> 2beb03 57d -11: 57e -> 2bf3e9 57e -11: 57f -> 2bff9c 57f -11: 580 -> 2c012a 580 -11: 581 -> 2c0d5f 581 -11: 582 -> 2c15b5 582 -11: 583 -> 2c19c0 583 -11: 584 -> 2c2461 584 -11: 585 -> 2c2814 585 -11: 586 -> 2c30fe 586 -11: 587 -> 2c3c8b 587 -11: 588 -> 2c47c9 588 -11: 589 -> 2c4bbc 589 -11: 58a -> 2c5356 58a -11: 58b -> 2c5f23 58b -11: 58c -> 2c6282 58c -11: 58d -> 2c6ef7 58d -11: 58e -> 2c761d 58e -11: 58f -> 2c7a68 58f -11: 590 -> 2c8099 590 -11: 591 -> 2c8cec 591 -11: 592 -> 2c9406 592 -11: 593 -> 2c9873 593 -11: 594 -> 2ca5d2 594 -11: 595 -> 2ca9a7 595 -11: 596 -> 2cb14d 596 -11: 597 -> 2cbd38 597 -11: 598 -> 2cc67a 598 -11: 599 -> 2cca0f 599 -11: 59a -> 2cd2e5 59a -11: 59b -> 2cde90 59b -11: 59c -> 2ce331 59c -11: 59d -> 2cef44 59d -11: 59e -> 2cf7ae 59e -11: 59f -> 2cfbdb 59f -11: 5a0 -> 2d024c 5a0 -11: 5a1 -> 2d0e39 5a1 -11: 5a2 -> 2d16d3 5a2 -11: 5a3 -> 2d1aa6 5a3 -11: 5a4 -> 2d2707 5a4 -11: 5a5 -> 2d2b72 5a5 -11: 5a6 -> 2d3398 5a6 -11: 5a7 -> 2d3fed 5a7 -11: 5a8 -> 2d44af 5a8 -11: 5a9 -> 2d48da 5a9 -11: 5aa -> 2d5030 5aa -11: 5ab -> 2d5c45 5ab -11: 5ac -> 2d61e4 5ac -11: 5ad -> 2d6d91 5ad -11: 5ae -> 2d757b 5ae -11: 5af -> 2d790e 5af -11: 5b0 -> 2d83ff 5b0 -11: 5b1 -> 2d8f8a 5b1 -11: 5b2 -> 2d9760 5b2 -11: 5b3 -> 2d9b15 5b3 -11: 5b4 -> 2da6b4 5b4 -11: 5b5 -> 2daac1 5b5 -11: 5b6 -> 2db22b 5b6 -11: 5b7 -> 2dbe5e 5b7 -11: 5b8 -> 2dc51c 5b8 -11: 5b9 -> 2dc969 5b9 -11: 5ba -> 2dd183 5ba -11: 5bb -> 2dddf6 5bb -11: 5bc -> 2de057 5bc -11: 5bd -> 2dec22 5bd -11: 5be -> 2df4c8 5be -11: 5bf -> 2df8bd 5bf -11: 5c0 -> 2e07e6 5c0 -11: 5c1 -> 2e0b93 5c1 -11: 5c2 -> 2e1379 5c2 -11: 5c3 -> 2e1f0c 5c3 -11: 5c4 -> 2e22ad 5c4 -11: 5c5 -> 2e2ed8 5c5 -11: 5c6 -> 2e3632 5c6 -11: 5c7 -> 2e3a47 5c7 -11: 5c8 -> 2e4105 5c8 -11: 5c9 -> 2e4d70 5c9 -11: 5ca -> 2e559a 5ca -11: 5cb -> 2e59ef 5cb -11: 5cc -> 2e644e 5cc -11: 5cd -> 2e683b 5cd -11: 5ce -> 2e70d1 5ce -11: 5cf -> 2e7ca4 5cf -11: 5d0 -> 2e8655 5d0 -11: 5d1 -> 2e8a20 5d1 -11: 5d2 -> 2e92ca 5d2 -11: 5d3 -> 2e9ebf 5d3 -11: 5d4 -> 2ea31e 5d4 -11: 5d5 -> 2eaf6b 5d5 -11: 5d6 -> 2eb781 5d6 -11: 5d7 -> 2ebbf4 5d7 -11: 5d8 -> 2ec0b6 5d8 -11: 5d9 -> 2eccc3 5d9 -11: 5da -> 2ed429 5da -11: 5db -> 2ed85c 5db -11: 5dc -> 2ee5fd 5dc -11: 5dd -> 2ee988 5dd -11: 5de -> 2ef162 5de -11: 5df -> 2efd17 5df -11: 5e0 -> 2f0480 5e0 -11: 5e1 -> 2f08f5 5e1 -11: 5e2 -> 2f101f 5e2 -11: 5e3 -> 2f1c6a 5e3 -11: 5e4 -> 2f21cb 5e4 -11: 5e5 -> 2f2dbe 5e5 -11: 5e6 -> 2f3554 5e6 -11: 5e7 -> 2f3921 5e7 -11: 5e8 -> 2f4263 5e8 -11: 5e9 -> 2f4e16 5e9 -11: 5ea -> 2f56fc 5ea -11: 5eb -> 2f5a89 5eb -11: 5ec -> 2f6728 5ec -11: 5ed -> 2f6b5d 5ed -11: 5ee -> 2f73b7 5ee -11: 5ef -> 2f7fc2 5ef -11: 5f0 -> 2f8533 5f0 -11: 5f1 -> 2f8946 5f1 -11: 5f2 -> 2f91ac 5f2 -11: 5f3 -> 2f9dd9 5f3 -11: 5f4 -> 2fa078 5f4 -11: 5f5 -> 2fac0d 5f5 -11: 5f6 -> 2fb4e7 5f6 -11: 5f7 -> 2fb892 5f7 -11: 5f8 -> 2fc3d0 5f8 -11: 5f9 -> 2fcfa5 5f9 -11: 5fa -> 2fd74f 5fa -11: 5fb -> 2fdb3a 5fb -11: 5fc -> 2fe69b 5fc -11: 5fd -> 2feaee 5fd -11: 5fe -> 2ff204 5fe -11: 5ff -> 2ffe71 5ff -11: 600 -> 3004a9 600 -11: 601 -> 3008dc 601 -11: 602 -> 301036 602 -11: 603 -> 301c43 603 -11: 604 -> 3021e2 604 -11: 605 -> 302d97 605 -11: 606 -> 30357d 606 -11: 607 -> 303908 607 -11: 608 -> 30424a 608 -11: 609 -> 304e3f 609 -11: 60a -> 3056d5 60a -11: 60b -> 305aa0 60b -11: 60c -> 306701 60c -11: 60d -> 306b74 60d -11: 60e -> 30739e 60e -11: 60f -> 307feb 60f -11: 610 -> 30851a 610 -11: 611 -> 30896f 611 -11: 612 -> 309185 612 -11: 613 -> 309df0 613 -11: 614 -> 30a051 614 -11: 615 -> 30ac24 615 -11: 616 -> 30b4ce 616 -11: 617 -> 30b8bb 617 -11: 618 -> 30c3f9 618 -11: 619 -> 30cf8c 619 -11: 61a -> 30d766 61a -11: 61b -> 30db13 61b -11: 61c -> 30e6b2 61c -11: 61d -> 30eac7 61d -11: 61e -> 30f22d 61e -11: 61f -> 30fe58 61f -11: 620 -> 3107cf 620 -11: 621 -> 310bba 621 -11: 622 -> 311350 622 -11: 623 -> 311f25 623 -11: 624 -> 312284 624 -11: 625 -> 312ef1 625 -11: 626 -> 31361b 626 -11: 627 -> 313a6e 627 -11: 628 -> 31412c 628 -11: 629 -> 314d59 629 -11: 62a -> 3155b3 62a -11: 62b -> 3159c6 62b -11: 62c -> 316467 62c -11: 62d -> 316812 62d -11: 62e -> 3170f8 62e -11: 62f -> 317c8d 62f -11: 630 -> 31867c 630 -11: 631 -> 318a09 631 -11: 632 -> 3192e3 632 -11: 633 -> 319e96 633 -11: 634 -> 31a337 634 -11: 635 -> 31af42 635 -11: 636 -> 31b7a8 636 -11: 637 -> 31bbdd 637 -12: 555 -> 2aae86 555 -12: 556 -> 2ab66c 556 -12: 557 -> 2aba19 557 -12: 558 -> 2ac15b 558 -12: 559 -> 2acd2e 559 -12: 55a -> 2ad5c4 55a -12: 55b -> 2ad9b1 55b -12: 55c -> 2ae410 55c -12: 55d -> 2ae865 55d -12: 55e -> 2af08f 55e -12: 55f -> 2afcfa 55f -12: 560 -> 2b056d 560 -12: 561 -> 2b0918 561 -12: 562 -> 2b11f2 562 -12: 563 -> 2b1d87 563 -12: 564 -> 2b2026 564 -12: 565 -> 2b2c53 565 -12: 566 -> 2b34b9 566 -12: 567 -> 2b38cc 567 -12: 568 -> 2b438e 568 -12: 569 -> 2b4ffb 569 -12: 56a -> 2b5711 56a -12: 56b -> 2b5b64 56b -12: 56c -> 2b66c5 56c -12: 56d -> 2b6ab0 56d -12: 56e -> 2b725a 56e -12: 56f -> 2b7e2f 56f -12: 570 -> 2b84de 570 -12: 571 -> 2b88ab 571 -12: 572 -> 2b9041 572 -12: 573 -> 2b9c34 573 -12: 574 -> 2ba195 574 -12: 575 -> 2bade0 575 -12: 576 -> 2bb50a 576 -12: 577 -> 2bb97f 577 -12: 578 -> 2bc23d 578 -12: 579 -> 2bce48 579 -12: 57a -> 2bd6a2 57a -12: 57b -> 2bdad7 57b -12: 57c -> 2be776 57c -12: 57d -> 2beb03 57d -12: 57e -> 2bf3e9 57e -12: 57f -> 2bff9c 57f -12: 580 -> 2c012a 580 -12: 581 -> 2c0d5f 581 -12: 582 -> 2c15b5 582 -12: 583 -> 2c19c0 583 -12: 584 -> 2c2461 584 -12: 585 -> 2c2814 585 -12: 586 -> 2c30fe 586 -12: 587 -> 2c3c8b 587 -12: 588 -> 2c47c9 588 -12: 589 -> 2c4bbc 589 -12: 58a -> 2c5356 58a -12: 58b -> 2c5f23 58b -12: 58c -> 2c6282 58c -12: 58d -> 2c6ef7 58d -12: 58e -> 2c761d 58e -12: 58f -> 2c7a68 58f -12: 590 -> 2c8099 590 -12: 591 -> 2c8cec 591 -12: 592 -> 2c9406 592 -12: 593 -> 2c9873 593 -12: 594 -> 2ca5d2 594 -12: 595 -> 2ca9a7 595 -12: 596 -> 2cb14d 596 -12: 597 -> 2cbd38 597 -12: 598 -> 2cc67a 598 -12: 599 -> 2cca0f 599 -12: 59a -> 2cd2e5 59a -12: 59b -> 2cde90 59b -12: 59c -> 2ce331 59c -12: 59d -> 2cef44 59d -12: 59e -> 2cf7ae 59e -12: 59f -> 2cfbdb 59f -12: 5a0 -> 2d024c 5a0 -12: 5a1 -> 2d0e39 5a1 -12: 5a2 -> 2d16d3 5a2 -12: 5a3 -> 2d1aa6 5a3 -12: 5a4 -> 2d2707 5a4 -12: 5a5 -> 2d2b72 5a5 -12: 5a6 -> 2d3398 5a6 -12: 5a7 -> 2d3fed 5a7 -12: 5a8 -> 2d44af 5a8 -12: 5a9 -> 2d48da 5a9 -12: 5aa -> 2d5030 5aa -12: 5ab -> 2d5c45 5ab -12: 5ac -> 2d61e4 5ac -12: 5ad -> 2d6d91 5ad -12: 5ae -> 2d757b 5ae -12: 5af -> 2d790e 5af -12: 5b0 -> 2d83ff 5b0 -12: 5b1 -> 2d8f8a 5b1 -12: 5b2 -> 2d9760 5b2 -12: 5b3 -> 2d9b15 5b3 -12: 5b4 -> 2da6b4 5b4 -12: 5b5 -> 2daac1 5b5 -12: 5b6 -> 2db22b 5b6 -12: 5b7 -> 2dbe5e 5b7 -12: 5b8 -> 2dc51c 5b8 -12: 5b9 -> 2dc969 5b9 -12: 5ba -> 2dd183 5ba -12: 5bb -> 2dddf6 5bb -12: 5bc -> 2de057 5bc -12: 5bd -> 2dec22 5bd -12: 5be -> 2df4c8 5be -12: 5bf -> 2df8bd 5bf -12: 5c0 -> 2e07e6 5c0 -12: 5c1 -> 2e0b93 5c1 -12: 5c2 -> 2e1379 5c2 -12: 5c3 -> 2e1f0c 5c3 -12: 5c4 -> 2e22ad 5c4 -12: 5c5 -> 2e2ed8 5c5 -12: 5c6 -> 2e3632 5c6 -12: 5c7 -> 2e3a47 5c7 -12: 5c8 -> 2e4105 5c8 -12: 5c9 -> 2e4d70 5c9 -12: 5ca -> 2e559a 5ca -12: 5cb -> 2e59ef 5cb -12: 5cc -> 2e644e 5cc -12: 5cd -> 2e683b 5cd -12: 5ce -> 2e70d1 5ce -12: 5cf -> 2e7ca4 5cf -12: 5d0 -> 2e8655 5d0 -12: 5d1 -> 2e8a20 5d1 -12: 5d2 -> 2e92ca 5d2 -12: 5d3 -> 2e9ebf 5d3 -12: 5d4 -> 2ea31e 5d4 -12: 5d5 -> 2eaf6b 5d5 -12: 5d6 -> 2eb781 5d6 -12: 5d7 -> 2ebbf4 5d7 -12: 5d8 -> 2ec0b6 5d8 -12: 5d9 -> 2eccc3 5d9 -12: 5da -> 2ed429 5da -12: 5db -> 2ed85c 5db -12: 5dc -> 2ee5fd 5dc -12: 5dd -> 2ee988 5dd -12: 5de -> 2ef162 5de -12: 5df -> 2efd17 5df -12: 5e0 -> 2f0480 5e0 -12: 5e1 -> 2f08f5 5e1 -12: 5e2 -> 2f101f 5e2 -12: 5e3 -> 2f1c6a 5e3 -12: 5e4 -> 2f21cb 5e4 -12: 5e5 -> 2f2dbe 5e5 -12: 5e6 -> 2f3554 5e6 -12: 5e7 -> 2f3921 5e7 -12: 5e8 -> 2f4263 5e8 -12: 5e9 -> 2f4e16 5e9 -12: 5ea -> 2f56fc 5ea -12: 5eb -> 2f5a89 5eb -12: 5ec -> 2f6728 5ec -12: 5ed -> 2f6b5d 5ed -12: 5ee -> 2f73b7 5ee -12: 5ef -> 2f7fc2 5ef -12: 5f0 -> 2f8533 5f0 -12: 5f1 -> 2f8946 5f1 -12: 5f2 -> 2f91ac 5f2 -12: 5f3 -> 2f9dd9 5f3 -12: 5f4 -> 2fa078 5f4 -12: 5f5 -> 2fac0d 5f5 -12: 5f6 -> 2fb4e7 5f6 -12: 5f7 -> 2fb892 5f7 -12: 5f8 -> 2fc3d0 5f8 -12: 5f9 -> 2fcfa5 5f9 -12: 5fa -> 2fd74f 5fa -12: 5fb -> 2fdb3a 5fb -12: 5fc -> 2fe69b 5fc -12: 5fd -> 2feaee 5fd -12: 5fe -> 2ff204 5fe -12: 5ff -> 2ffe71 5ff -12: 600 -> 3004a9 600 -12: 601 -> 3008dc 601 -12: 602 -> 301036 602 -12: 603 -> 301c43 603 -12: 604 -> 3021e2 604 -12: 605 -> 302d97 605 -12: 606 -> 30357d 606 -12: 607 -> 303908 607 -12: 608 -> 30424a 608 -12: 609 -> 304e3f 609 -12: 60a -> 3056d5 60a -12: 60b -> 305aa0 60b -12: 60c -> 306701 60c -12: 60d -> 306b74 60d -12: 60e -> 30739e 60e -12: 60f -> 307feb 60f -12: 610 -> 30851a 610 -12: 611 -> 30896f 611 -12: 612 -> 309185 612 -12: 613 -> 309df0 613 -12: 614 -> 30a051 614 -12: 615 -> 30ac24 615 -12: 616 -> 30b4ce 616 -12: 617 -> 30b8bb 617 -12: 618 -> 30c3f9 618 -12: 619 -> 30cf8c 619 -12: 61a -> 30d766 61a -12: 61b -> 30db13 61b -12: 61c -> 30e6b2 61c -12: 61d -> 30eac7 61d -12: 61e -> 30f22d 61e -12: 61f -> 30fe58 61f -12: 620 -> 3107cf 620 -12: 621 -> 310bba 621 -12: 622 -> 311350 622 -12: 623 -> 311f25 623 -12: 624 -> 312284 624 -12: 625 -> 312ef1 625 -12: 626 -> 31361b 626 -12: 627 -> 313a6e 627 -12: 628 -> 31412c 628 -12: 629 -> 314d59 629 -12: 62a -> 3155b3 62a -12: 62b -> 3159c6 62b -12: 62c -> 316467 62c -12: 62d -> 316812 62d -12: 62e -> 3170f8 62e -12: 62f -> 317c8d 62f -12: 630 -> 31867c 630 -12: 631 -> 318a09 631 -12: 632 -> 3192e3 632 -12: 633 -> 319e96 633 -12: 634 -> 31a337 634 -12: 635 -> 31af42 635 -12: 636 -> 31b7a8 636 -12: 637 -> 31bbdd 637 + 10/155 Test #13: test_ch_papr ................................... Passed 0.26 sec +test 14 + Start 14: test_codec2_700c_octave_port + +14: Test command: /usr/bin/sh "-c" " + cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./c2sim /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw --phase0 --postfilter --dump hts1a --lpc 10 --dump_pitch_e hts1a_pitche.txt; + cd /build/reproducible-path/codec2-1.2.0/Build/unittest; ./tnewamp1 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw; + cd /build/reproducible-path/codec2-1.2.0/octave; + DISPLAY="" octave-cli -qf --eval 'tnewamp1("/build/reproducible-path/codec2-1.2.0/Build/src/hts1a", "/build/reproducible-path/codec2-1.2.0/Build/unittest")'" +14: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +14: Test timeout computed to be: 1500 12: 638 -> 31c09f 638 12: 639 -> 31ccea 639 12: 63a -> 31d400 63a @@ -6053,234 +5885,6 @@ 12: 719 -> 38cc56 719 12: 71a -> 38d4bc 71a 12: 71b -> 38d8c9 71b -11: 638 -> 31c09f 638 -11: 639 -> 31ccea 639 -11: 63a -> 31d400 63a -11: 63b -> 31d875 63b -11: 63c -> 31e5d4 63c -11: 63d -> 31e9a1 63d -11: 63e -> 31f14b 63e -11: 63f -> 31fd3e 63f -11: 640 -> 320265 640 -11: 641 -> 320e10 641 -11: 642 -> 3216fa 642 -11: 643 -> 321a8f 643 -11: 644 -> 32272e 644 -11: 645 -> 322b5b 645 -11: 646 -> 3233b1 646 -11: 647 -> 323fc4 647 -11: 648 -> 324486 648 -11: 649 -> 3248f3 649 -11: 64a -> 325019 64a -11: 64b -> 325c6c 64b -11: 64c -> 3261cd 64c -11: 64d -> 326db8 64d -11: 64e -> 327552 64e -11: 64f -> 327927 64f -11: 650 -> 3283d6 650 -11: 651 -> 328fa3 651 -11: 652 -> 329749 652 -11: 653 -> 329b3c 653 -11: 654 -> 32a69d 654 -11: 655 -> 32aae8 655 -11: 656 -> 32b202 656 -11: 657 -> 32be77 657 -11: 658 -> 32c535 658 -11: 659 -> 32c940 659 -11: 65a -> 32d1aa 65a -11: 65b -> 32dddf 65b -11: 65c -> 32e07e 65c -11: 65d -> 32ec0b 65d -11: 65e -> 32f4e1 65e -11: 65f -> 32f894 65f -11: 660 -> 330103 660 -11: 661 -> 330d76 661 -11: 662 -> 33159c 662 -11: 663 -> 3319e9 663 -11: 664 -> 332448 664 -11: 665 -> 33283d 665 -11: 666 -> 3330d7 666 -11: 667 -> 333ca2 667 -11: 668 -> 3347e0 668 -11: 669 -> 334b95 669 -11: 66a -> 33537f 66a -11: 66b -> 335f0a 66b -11: 66c -> 3362ab 66c -11: 66d -> 336ede 66d -11: 66e -> 337634 66e -11: 66f -> 337a41 66f -11: 670 -> 3380b0 670 -11: 671 -> 338cc5 671 -11: 672 -> 33942f 672 -11: 673 -> 33985a 673 -11: 674 -> 33a5fb 674 -11: 675 -> 33a98e 675 -11: 676 -> 33b164 676 -11: 677 -> 33bd11 677 -11: 678 -> 33c653 678 -11: 679 -> 33ca26 679 -11: 67a -> 33d2cc 67a -11: 67b -> 33deb9 67b -11: 67c -> 33e318 67c -11: 67d -> 33ef6d 67d -11: 67e -> 33f787 67e -11: 67f -> 33fbf2 67f -11: 680 -> 340544 680 -11: 681 -> 340931 681 -11: 682 -> 3411db 682 -11: 683 -> 341dae 683 -11: 684 -> 34200f 684 -11: 685 -> 342c7a 685 -11: 686 -> 343490 686 -11: 687 -> 3438e5 687 -11: 688 -> 3443a7 688 -11: 689 -> 344fd2 689 -11: 68a -> 345738 68a -11: 68b -> 345b4d 68b -11: 68c -> 3466ec 68c -11: 68d -> 346a99 68d -11: 68e -> 347273 68e -11: 68f -> 347e06 68f -11: 690 -> 3484f7 690 -11: 691 -> 348882 691 -11: 692 -> 349068 692 -11: 693 -> 349c1d 693 -11: 694 -> 34a1bc 694 -11: 695 -> 34adc9 695 -11: 696 -> 34b523 696 -11: 697 -> 34b956 697 -11: 698 -> 34c214 698 -11: 699 -> 34ce61 699 -11: 69a -> 34d68b 69a -11: 69b -> 34dafe 69b -11: 69c -> 34e75f 69c -11: 69d -> 34eb2a 69d -11: 69e -> 34f3c0 69e -11: 69f -> 34ffb5 69f -11: 6a0 -> 350622 6a0 -11: 6a1 -> 350a57 6a1 -11: 6a2 -> 3512bd 6a2 -11: 6a3 -> 351ec8 6a3 -11: 6a4 -> 352369 6a4 -11: 6a5 -> 352f1c 6a5 -11: 6a6 -> 3537f6 6a6 -11: 6a7 -> 353b83 6a7 -11: 6a8 -> 3540c1 6a8 -11: 6a9 -> 354cb4 6a9 -11: 6aa -> 35545e 6aa -11: 6ab -> 35582b 6ab -11: 6ac -> 35658a 6ac -11: 6ad -> 3569ff 6ad -11: 6ae -> 357115 6ae -11: 6af -> 357d60 6af -11: 6b0 -> 358791 6b0 -11: 6b1 -> 358be4 6b1 -11: 6b2 -> 35930e 6b2 -11: 6b3 -> 359f7b 6b3 -11: 6b4 -> 35a2da 6b4 -11: 6b5 -> 35aeaf 6b5 -11: 6b6 -> 35b645 6b6 -11: 6b7 -> 35ba30 6b7 -11: 6b8 -> 35c172 6b8 -11: 6b9 -> 35cd07 6b9 -11: 6ba -> 35d5ed 6ba -11: 6bb -> 35d998 6bb -11: 6bc -> 35e439 6bc -11: 6bd -> 35e84c 6bd -11: 6be -> 35f0a6 6be -11: 6bf -> 35fcd3 6bf -11: 6c0 -> 360388 6c0 -11: 6c1 -> 360ffd 6c1 -11: 6c2 -> 361717 6c2 -11: 6c3 -> 361b62 6c3 -11: 6c4 -> 3626c3 6c4 -11: 6c5 -> 362ab6 6c5 -11: 6c6 -> 36325c 6c6 -11: 6c7 -> 363e29 6c7 -11: 6c8 -> 36456b 6c8 -11: 6c9 -> 36491e 6c9 -11: 6ca -> 3651f4 6ca -11: 6cb -> 365d81 6cb -11: 6cc -> 366020 6cc -11: 6cd -> 366c55 6cd -11: 6ce -> 3674bf 6ce -11: 6cf -> 3678ca 6cf -11: 6d0 -> 36823b 6d0 -11: 6d1 -> 368e4e 6d1 -11: 6d2 -> 3696a4 6d2 -11: 6d3 -> 369ad1 6d3 -11: 6d4 -> 36a770 6d4 -11: 6d5 -> 36ab05 6d5 -11: 6d6 -> 36b3ef 6d6 -11: 6d7 -> 36bf9a 6d7 -11: 6d8 -> 36c4d8 6d8 -11: 6d9 -> 36c8ad 6d9 -11: 6da -> 36d047 6da -11: 6db -> 36dc32 6db -11: 6dc -> 36e193 6dc -11: 6dd -> 36ede6 6dd -11: 6de -> 36f50c 6de -11: 6df -> 36f979 6df -11: 6e0 -> 3700ee 6e0 -11: 6e1 -> 370c9b 6e1 -11: 6e2 -> 371471 6e2 -11: 6e3 -> 371804 6e3 -11: 6e4 -> 3725a5 6e4 -11: 6e5 -> 3729d0 6e5 -11: 6e6 -> 37313a 6e6 -11: 6e7 -> 373d4f 6e7 -11: 6e8 -> 37460d 6e8 -11: 6e9 -> 374a78 6e9 -11: 6ea -> 375292 6ea -11: 6eb -> 375ee7 6eb -11: 6ec -> 376346 6ec -11: 6ed -> 376f33 6ed -11: 6ee -> 3777d9 6ee -11: 6ef -> 377bac 6ef -11: 6f0 -> 37815d 6f0 -11: 6f1 -> 378d28 6f1 -11: 6f2 -> 3795c2 6f2 -11: 6f3 -> 3799b7 6f3 -11: 6f4 -> 37a416 6f4 -11: 6f5 -> 37a863 6f5 -11: 6f6 -> 37b089 6f6 -11: 6f7 -> 37bcfc 6f7 -11: 6f8 -> 37c7be 6f8 -11: 6f9 -> 37cbcb 6f9 -11: 6fa -> 37d321 6fa -11: 6fb -> 37df54 6fb -11: 6fc -> 37e2f5 6fc -11: 6fd -> 37ee80 6fd -11: 6fe -> 37f66a 6fe -11: 6ff -> 37fa1f 6ff -11: 700 -> 380773 700 -11: 701 -> 380b06 701 -11: 702 -> 3813ec 702 -11: 703 -> 381f99 703 -11: 704 -> 382238 704 -11: 705 -> 382e4d 705 -11: 706 -> 3836a7 706 -11: 707 -> 383ad2 707 -11: 708 -> 384190 708 -11: 709 -> 384de5 709 -11: 70a -> 38550f 70a -11: 70b -> 38597a 70b -11: 70c -> 3864db 70c -11: 70d -> 3868ae 70d -11: 70e -> 387044 70e -11: 70f -> 387c31 70f -11: 710 -> 3886c0 710 -11: 711 -> 388ab5 711 -11: 712 -> 38925f 712 -11: 713 -> 389e2a 713 -11: 714 -> 38a38b 714 -11: 715 -> 38affe 715 -11: 716 -> 38b714 716 -11: 717 -> 38bb61 717 -11: 718 -> 38c023 718 -11: 719 -> 38cc56 719 -11: 71a -> 38d4bc 71a -11: 71b -> 38d8c9 71b 12: 71c -> 38e568 71c 12: 71d -> 38e91d 71d 12: 71e -> 38f1f7 71e @@ -6509,234 +6113,471 @@ 12: 7fd -> 3fed5a 7fd 12: 7fe -> 3ff5b0 7fe 12: 7ff -> 3ff9c5 7ff -11: 71c -> 38e568 71c -11: 71d -> 38e91d 71d -11: 71e -> 38f1f7 71e -11: 71f -> 38fd82 71f -11: 720 -> 390415 720 -11: 721 -> 390860 721 -11: 722 -> 39108a 722 -11: 723 -> 391cff 723 -11: 724 -> 39215e 724 -11: 725 -> 392d2b 725 -11: 726 -> 3935c1 726 -11: 727 -> 3939b4 727 -11: 728 -> 3942f6 728 -11: 729 -> 394e83 729 -11: 72a -> 395669 72a -11: 72b -> 395a1c 72b -11: 72c -> 3967bd 72c -11: 72d -> 396bc8 72d -11: 72e -> 397322 72e -11: 72f -> 397f57 72f -11: 730 -> 3985a6 730 -11: 731 -> 3989d3 731 -11: 732 -> 399139 732 -11: 733 -> 399d4c 733 -11: 734 -> 39a0ed 734 -11: 735 -> 39ac98 735 -11: 736 -> 39b472 736 -11: 737 -> 39b807 737 -11: 738 -> 39c345 738 -11: 739 -> 39cf30 739 -11: 73a -> 39d7da 73a -11: 73b -> 39dbaf 73b -11: 73c -> 39e60e 73c -11: 73d -> 39ea7b 73d -11: 73e -> 39f291 73e -11: 73f -> 39fee4 73f -11: 740 -> 3a01bf 740 -11: 741 -> 3a0dca 741 -11: 742 -> 3a1520 742 -11: 743 -> 3a1955 743 -11: 744 -> 3a24f4 744 -11: 745 -> 3a2881 745 -11: 746 -> 3a306b 746 -11: 747 -> 3a3c1e 747 -11: 748 -> 3a475c 748 -11: 749 -> 3a4b29 749 -11: 74a -> 3a53c3 74a -11: 74b -> 3a5fb6 74b -11: 74c -> 3a6217 74c -11: 74d -> 3a6e62 74d -11: 74e -> 3a7688 74e -11: 74f -> 3a7afd 74f -11: 750 -> 3a800c 750 -11: 751 -> 3a8c79 751 -11: 752 -> 3a9493 752 -11: 753 -> 3a98e6 753 -11: 754 -> 3aa547 754 -11: 755 -> 3aa932 755 -11: 756 -> 3ab1d8 756 -11: 757 -> 3abdad 757 -11: 758 -> 3ac6ef 758 -11: 759 -> 3aca9a 759 -11: 75a -> 3ad270 75a -11: 75b -> 3ade05 75b -11: 75c -> 3ae3a4 75c -11: 75d -> 3aefd1 75d -11: 75e -> 3af73b 75e -11: 75f -> 3afb4e 75f -11: 760 -> 3b02d9 760 -11: 761 -> 3b0eac 761 -11: 762 -> 3b1646 762 -11: 763 -> 3b1a33 763 -11: 764 -> 3b2792 764 -11: 765 -> 3b2be7 765 -11: 766 -> 3b330d 766 -11: 767 -> 3b3f78 767 -11: 768 -> 3b443a 768 -11: 769 -> 3b484f 769 -11: 76a -> 3b50a5 76a -11: 76b -> 3b5cd0 76b -11: 76c -> 3b6171 76c -11: 76d -> 3b6d04 76d -11: 76e -> 3b75ee 76e -11: 76f -> 3b799b 76f -11: 770 -> 3b836a 770 -11: 771 -> 3b8f1f 771 -11: 772 -> 3b97f5 772 -11: 773 -> 3b9b80 773 -11: 774 -> 3ba621 774 -11: 775 -> 3baa54 775 -11: 776 -> 3bb2be 776 -11: 777 -> 3bbecb 777 -11: 778 -> 3bc589 778 -11: 779 -> 3bc9fc 779 -11: 77a -> 3bd116 77a -11: 77b -> 3bdd63 77b -11: 77c -> 3be0c2 77c -11: 77d -> 3becb7 77d -11: 77e -> 3bf45d 77e -11: 77f -> 3bf828 77f -11: 780 -> 3c069e 780 -11: 781 -> 3c0aeb 781 -11: 782 -> 3c1201 782 -11: 783 -> 3c1e74 783 -11: 784 -> 3c23d5 784 -11: 785 -> 3c2fa0 785 -11: 786 -> 3c374a 786 -11: 787 -> 3c3b3f 787 -11: 788 -> 3c407d 788 -11: 789 -> 3c4c08 789 -11: 78a -> 3c54e2 78a -11: 78b -> 3c5897 78b -11: 78c -> 3c6536 78c -11: 78d -> 3c6943 78d -11: 78e -> 3c71a9 78e -11: 78f -> 3c7ddc 78f -11: 790 -> 3c872d 790 -11: 791 -> 3c8b58 791 -11: 792 -> 3c93b2 792 -11: 793 -> 3c9fc7 793 -11: 794 -> 3ca266 794 -11: 795 -> 3cae13 795 -11: 796 -> 3cb6f9 796 -11: 797 -> 3cba8c 797 -11: 798 -> 3cc1ce 798 -11: 799 -> 3ccdbb 799 -11: 79a -> 3cd551 79a -11: 79b -> 3cd924 79b -11: 79c -> 3ce485 79c -11: 79d -> 3ce8f0 79d -11: 79e -> 3cf01a 79e -11: 79f -> 3cfc6f 79f -11: 7a0 -> 3d05f8 7a0 -11: 7a1 -> 3d098d 7a1 -11: 7a2 -> 3d1167 7a2 -11: 7a3 -> 3d1d12 7a3 -11: 7a4 -> 3d20b3 7a4 -11: 7a5 -> 3d2cc6 7a5 -11: 7a6 -> 3d342c 7a6 -11: 7a7 -> 3d3859 7a7 -11: 7a8 -> 3d431b 7a8 -11: 7a9 -> 3d4f6e 7a9 -11: 7aa -> 3d5784 7aa -11: 7ab -> 3d5bf1 7ab -11: 7ac -> 3d6650 7ac -11: 7ad -> 3d6a25 7ad -11: 7ae -> 3d72cf 7ae -11: 7af -> 3d7eba 7af -11: 7b0 -> 3d844b 7b0 -11: 7b1 -> 3d883e 7b1 -11: 7b2 -> 3d90d4 7b2 -11: 7b3 -> 3d9ca1 7b3 -11: 7b4 -> 3da100 7b4 -11: 7b5 -> 3dad75 7b5 -11: 7b6 -> 3db59f 7b6 -11: 7b7 -> 3db9ea 7b7 -11: 7b8 -> 3dc2a8 7b8 -11: 7b9 -> 3dcedd 7b9 -11: 7ba -> 3dd637 7ba -11: 7bb -> 3dda42 7bb -11: 7bc -> 3de7e3 7bc -11: 7bd -> 3deb96 7bd -11: 7be -> 3df37c 7be -11: 7bf -> 3dff09 7bf -11: 7c0 -> 3e0052 7c0 -11: 7c1 -> 3e0c27 7c1 -11: 7c2 -> 3e14cd 7c2 -11: 7c3 -> 3e18b8 7c3 -11: 7c4 -> 3e2519 7c4 -11: 7c5 -> 3e296c 7c5 -11: 7c6 -> 3e3186 7c6 -11: 7c7 -> 3e3df3 7c7 -11: 7c8 -> 3e46b1 7c8 -11: 7c9 -> 3e4ac4 7c9 -11: 7ca -> 3e522e 7ca -11: 7cb -> 3e5e5b 7cb -11: 7cc -> 3e63fa 7cc -11: 7cd -> 3e6f8f 7cd -11: 7ce -> 3e7765 7ce -11: 7cf -> 3e7b10 7cf -11: 7d0 -> 3e81e1 7d0 -11: 7d1 -> 3e8d94 7d1 -11: 7d2 -> 3e957e 7d2 -11: 7d3 -> 3e990b 7d3 -11: 7d4 -> 3ea4aa 7d4 -11: 7d5 -> 3ea8df 7d5 -11: 7d6 -> 3eb035 7d6 -11: 7d7 -> 3ebc40 7d7 -11: 7d8 -> 3ec702 7d8 -11: 7d9 -> 3ecb77 7d9 -11: 7da -> 3ed39d 7da -11: 7db -> 3edfe8 7db -11: 7dc -> 3ee249 7dc -11: 7dd -> 3eee3c 7dd -11: 7de -> 3ef6d6 7de -11: 7df -> 3efaa3 7df -11: 7e0 -> 3f0334 7e0 -11: 7e1 -> 3f0f41 7e1 -11: 7e2 -> 3f17ab 7e2 -11: 7e3 -> 3f1bde 7e3 -11: 7e4 -> 3f267f 7e4 -11: 7e5 -> 3f2a0a 7e5 -11: 7e6 -> 3f32e0 7e6 -11: 7e7 -> 3f3e95 7e7 -11: 7e8 -> 3f45d7 7e8 -11: 7e9 -> 3f49a2 7e9 -11: 7ea -> 3f5148 7ea -11: 7eb -> 3f5d3d 7eb -11: 7ec -> 3f609c 7ec -11: 7ed -> 3f6ce9 7ed -11: 7ee -> 3f7403 7ee -11: 7ef -> 3f7876 7ef -11: 7f0 -> 3f8287 7f0 -11: 7f1 -> 3f8ef2 7f1 -11: 7f2 -> 3f9618 7f2 -11: 7f3 -> 3f9a6d 7f3 -11: 7f4 -> 3fa7cc 7f4 -11: 7f5 -> 3fabb9 7f5 -11: 7f6 -> 3fb353 7f6 -11: 7f7 -> 3fbf26 7f7 -11: 7f8 -> 3fc464 7f8 -11: 7f9 -> 3fc811 7f9 -11: 7fa -> 3fd0fb 7fa -11: 7fb -> 3fdc8e 7fb -11: 7fc -> 3fe12f 7fc -11: 7fd -> 3fed5a 7fd -11: 7fe -> 3ff5b0 7fe -11: 7ff -> 3ff9c5 7ff +11: 555 -> 2aae86 555 +11: 556 -> 2ab66c 556 +11: 557 -> 2aba19 557 +11: 558 -> 2ac15b 558 +11: 559 -> 2acd2e 559 +11: 55a -> 2ad5c4 55a +11: 55b -> 2ad9b1 55b +11: 55c -> 2ae410 55c +11: 55d -> 2ae865 55d +11: 55e -> 2af08f 55e +11: 55f -> 2afcfa 55f +11: 560 -> 2b056d 560 +11: 561 -> 2b0918 561 +11: 562 -> 2b11f2 562 +11: 563 -> 2b1d87 563 +11: 564 -> 2b2026 564 +11: 565 -> 2b2c53 565 +11: 566 -> 2b34b9 566 +11: 567 -> 2b38cc 567 +11: 568 -> 2b438e 568 +11: 569 -> 2b4ffb 569 +11: 56a -> 2b5711 56a +11: 56b -> 2b5b64 56b +11: 56c -> 2b66c5 56c +11: 56d -> 2b6ab0 56d +11: 56e -> 2b725a 56e +11: 56f -> 2b7e2f 56f +11: 570 -> 2b84de 570 +11: 571 -> 2b88ab 571 +11: 572 -> 2b9041 572 +11: 573 -> 2b9c34 573 +11: 574 -> 2ba195 574 +11: 575 -> 2bade0 575 +11: 576 -> 2bb50a 576 +11: 577 -> 2bb97f 577 +11: 578 -> 2bc23d 578 +11: 579 -> 2bce48 579 +11: 57a -> 2bd6a2 57a +11: 57b -> 2bdad7 57b +11: 57c -> 2be776 57c +11: 57d -> 2beb03 57d +11: 57e -> 2bf3e9 57e +11: 57f -> 2bff9c 57f +11: 580 -> 2c012a 580 +11: 581 -> 2c0d5f 581 +11: 582 -> 2c15b5 582 +11: 583 -> 2c19c0 583 +11: 584 -> 2c2461 584 +11: 585 -> 2c2814 585 +11: 586 -> 2c30fe 586 +11: 587 -> 2c3c8b 587 +11: 588 -> 2c47c9 588 +11: 589 -> 2c4bbc 589 +11: 58a -> 2c5356 58a +11: 58b -> 2c5f23 58b +11: 58c -> 2c6282 58c +11: 58d -> 2c6ef7 58d +11: 58e -> 2c761d 58e +11: 58f -> 2c7a68 58f +11: 590 -> 2c8099 590 +11: 591 -> 2c8cec 591 +11: 592 -> 2c9406 592 +11: 593 -> 2c9873 593 +11: 594 -> 2ca5d2 594 +11: 595 -> 2ca9a7 595 +11: 596 -> 2cb14d 596 +11: 597 -> 2cbd38 597 +11: 598 -> 2cc67a 598 +11: 599 -> 2cca0f 599 +11: 59a -> 2cd2e5 59a +11: 59b -> 2cde90 59b +11: 59c -> 2ce331 59c +11: 59d -> 2cef44 59d +11: 59e -> 2cf7ae 59e +11: 59f -> 2cfbdb 59f +11: 5a0 -> 2d024c 5a0 +11: 5a1 -> 2d0e39 5a1 +11: 5a2 -> 2d16d3 5a2 +11: 5a3 -> 2d1aa6 5a3 +11: 5a4 -> 2d2707 5a4 +11: 5a5 -> 2d2b72 5a5 +11: 5a6 -> 2d3398 5a6 +11: 5a7 -> 2d3fed 5a7 +11: 5a8 -> 2d44af 5a8 +11: 5a9 -> 2d48da 5a9 +11: 5aa -> 2d5030 5aa +11: 5ab -> 2d5c45 5ab +11: 5ac -> 2d61e4 5ac +11: 5ad -> 2d6d91 5ad +11: 5ae -> 2d757b 5ae +11: 5af -> 2d790e 5af +11: 5b0 -> 2d83ff 5b0 +11: 5b1 -> 2d8f8a 5b1 +11: 5b2 -> 2d9760 5b2 +11: 5b3 -> 2d9b15 5b3 +11: 5b4 -> 2da6b4 5b4 +11: 5b5 -> 2daac1 5b5 +11: 5b6 -> 2db22b 5b6 +11: 5b7 -> 2dbe5e 5b7 +11: 5b8 -> 2dc51c 5b8 +11: 5b9 -> 2dc969 5b9 +11: 5ba -> 2dd183 5ba +11: 5bb -> 2dddf6 5bb +11: 5bc -> 2de057 5bc +11: 5bd -> 2dec22 5bd +11: 5be -> 2df4c8 5be +11: 5bf -> 2df8bd 5bf +11: 5c0 -> 2e07e6 5c0 +11: 5c1 -> 2e0b93 5c1 +11: 5c2 -> 2e1379 5c2 +11: 5c3 -> 2e1f0c 5c3 +11: 5c4 -> 2e22ad 5c4 +11: 5c5 -> 2e2ed8 5c5 +11: 5c6 -> 2e3632 5c6 +11: 5c7 -> 2e3a47 5c7 +11: 5c8 -> 2e4105 5c8 +11: 5c9 -> 2e4d70 5c9 +11: 5ca -> 2e559a 5ca +11: 5cb -> 2e59ef 5cb +11: 5cc -> 2e644e 5cc +11: 5cd -> 2e683b 5cd +11: 5ce -> 2e70d1 5ce +11: 5cf -> 2e7ca4 5cf +11: 5d0 -> 2e8655 5d0 +11: 5d1 -> 2e8a20 5d1 +11: 5d2 -> 2e92ca 5d2 +11: 5d3 -> 2e9ebf 5d3 +11: 5d4 -> 2ea31e 5d4 +11: 5d5 -> 2eaf6b 5d5 +11: 5d6 -> 2eb781 5d6 +11: 5d7 -> 2ebbf4 5d7 +11: 5d8 -> 2ec0b6 5d8 +11: 5d9 -> 2eccc3 5d9 +11: 5da -> 2ed429 5da +11: 5db -> 2ed85c 5db +11: 5dc -> 2ee5fd 5dc +11: 5dd -> 2ee988 5dd +11: 5de -> 2ef162 5de +11: 5df -> 2efd17 5df +11: 5e0 -> 2f0480 5e0 +11: 5e1 -> 2f08f5 5e1 +11: 5e2 -> 2f101f 5e2 +11: 5e3 -> 2f1c6a 5e3 +11: 5e4 -> 2f21cb 5e4 +11: 5e5 -> 2f2dbe 5e5 +11: 5e6 -> 2f3554 5e6 +11: 5e7 -> 2f3921 5e7 +11: 5e8 -> 2f4263 5e8 +11: 5e9 -> 2f4e16 5e9 +11: 5ea -> 2f56fc 5ea +11: 5eb -> 2f5a89 5eb +11: 5ec -> 2f6728 5ec +11: 5ed -> 2f6b5d 5ed +11: 5ee -> 2f73b7 5ee +11: 5ef -> 2f7fc2 5ef +11: 5f0 -> 2f8533 5f0 +11: 5f1 -> 2f8946 5f1 +11: 5f2 -> 2f91ac 5f2 +11: 5f3 -> 2f9dd9 5f3 +11: 5f4 -> 2fa078 5f4 +11: 5f5 -> 2fac0d 5f5 +11: 5f6 -> 2fb4e7 5f6 +11: 5f7 -> 2fb892 5f7 +11: 5f8 -> 2fc3d0 5f8 +11: 5f9 -> 2fcfa5 5f9 +11: 5fa -> 2fd74f 5fa +11: 5fb -> 2fdb3a 5fb +11: 5fc -> 2fe69b 5fc +11: 5fd -> 2feaee 5fd +11: 5fe -> 2ff204 5fe +11: 5ff -> 2ffe71 5ff +11: 600 -> 3004a9 600 +11: 601 -> 3008dc 601 +11: 602 -> 301036 602 +11: 603 -> 301c43 603 +11: 604 -> 3021e2 604 +11: 605 -> 302d97 605 +11: 606 -> 30357d 606 +11: 607 -> 303908 607 +11: 608 -> 30424a 608 +11: 609 -> 304e3f 609 +11: 60a -> 3056d5 60a +11: 60b -> 305aa0 60b +11: 60c -> 306701 60c +11: 60d -> 306b74 60d +11: 60e -> 30739e 60e +11: 60f -> 307feb 60f +11: 610 -> 30851a 610 +11: 611 -> 30896f 611 +11: 612 -> 309185 612 +11: 613 -> 309df0 613 +11: 614 -> 30a051 614 +11: 615 -> 30ac24 615 +11: 616 -> 30b4ce 616 +11: 617 -> 30b8bb 617 +11: 618 -> 30c3f9 618 +11: 619 -> 30cf8c 619 +11: 61a -> 30d766 61a +11: 61b -> 30db13 61b +11: 61c -> 30e6b2 61c +11: 61d -> 30eac7 61d +11: 61e -> 30f22d 61e +11: 61f -> 30fe58 61f +11: 620 -> 3107cf 620 +11: 621 -> 310bba 621 +11: 622 -> 311350 622 +11: 623 -> 311f25 623 +11: 624 -> 312284 624 +11: 625 -> 312ef1 625 +11: 626 -> 31361b 626 +11: 627 -> 313a6e 627 +11: 628 -> 31412c 628 +11: 629 -> 314d59 629 +11: 62a -> 3155b3 62a +11: 62b -> 3159c6 62b +11: 62c -> 316467 62c +11: 62d -> 316812 62d +11: 62e -> 3170f8 62e +11: 62f -> 317c8d 62f +11: 630 -> 31867c 630 +11: 631 -> 318a09 631 +11: 632 -> 3192e3 632 +11: 633 -> 319e96 633 +11: 634 -> 31a337 634 +11: 635 -> 31af42 635 +11: 636 -> 31b7a8 636 +11: 637 -> 31bbdd 637 +11: 638 -> 31c09f 638 +11: 639 -> 31ccea 639 +11: 63a -> 31d400 63a +11: 63b -> 31d875 63b +11: 63c -> 31e5d4 63c +11: 63d -> 31e9a1 63d +11: 63e -> 31f14b 63e +11: 63f -> 31fd3e 63f +11: 640 -> 320265 640 +11: 641 -> 320e10 641 +11: 642 -> 3216fa 642 +11: 643 -> 321a8f 643 +11: 644 -> 32272e 644 +11: 645 -> 322b5b 645 +11: 646 -> 3233b1 646 +11: 647 -> 323fc4 647 +11: 648 -> 324486 648 +11: 649 -> 3248f3 649 +11: 64a -> 325019 64a +11: 64b -> 325c6c 64b +11: 64c -> 3261cd 64c +11: 64d -> 326db8 64d +11: 64e -> 327552 64e +11: 64f -> 327927 64f +11: 650 -> 3283d6 650 +11: 651 -> 328fa3 651 +11: 652 -> 329749 652 +11: 653 -> 329b3c 653 +11: 654 -> 32a69d 654 +11: 655 -> 32aae8 655 +11: 656 -> 32b202 656 +11: 657 -> 32be77 657 +11: 658 -> 32c535 658 +11: 659 -> 32c940 659 +11: 65a -> 32d1aa 65a +11: 65b -> 32dddf 65b +11: 65c -> 32e07e 65c +11: 65d -> 32ec0b 65d +11: 65e -> 32f4e1 65e +11: 65f -> 32f894 65f +11: 660 -> 330103 660 +11: 661 -> 330d76 661 +11: 662 -> 33159c 662 +11: 663 -> 3319e9 663 +11: 664 -> 332448 664 +11: 665 -> 33283d 665 +11: 666 -> 3330d7 666 +11: 667 -> 333ca2 667 +11: 668 -> 3347e0 668 +11: 669 -> 334b95 669 +11: 66a -> 33537f 66a +11: 66b -> 335f0a 66b +11: 66c -> 3362ab 66c +11: 66d -> 336ede 66d +11: 66e -> 337634 66e +11: 66f -> 337a41 66f +11: 670 -> 3380b0 670 +11: 671 -> 338cc5 671 +11: 672 -> 33942f 672 +11: 673 -> 33985a 673 +11: 674 -> 33a5fb 674 +11: 675 -> 33a98e 675 +11: 676 -> 33b164 676 +11: 677 -> 33bd11 677 +11: 678 -> 33c653 678 +11: 679 -> 33ca26 679 +11: 67a -> 33d2cc 67a +11: 67b -> 33deb9 67b +11: 67c -> 33e318 67c +11: 67d -> 33ef6d 67d +11: 67e -> 33f787 67e +11: 67f -> 33fbf2 67f +11: 680 -> 340544 680 +11: 681 -> 340931 681 +11: 682 -> 3411db 682 +11: 683 -> 341dae 683 +11: 684 -> 34200f 684 +11: 685 -> 342c7a 685 +11: 686 -> 343490 686 +11: 687 -> 3438e5 687 +11: 688 -> 3443a7 688 +11: 689 -> 344fd2 689 +11: 68a -> 345738 68a +11: 68b -> 345b4d 68b +11: 68c -> 3466ec 68c +11: 68d -> 346a99 68d +11: 68e -> 347273 68e +11: 68f -> 347e06 68f +11: 690 -> 3484f7 690 +11: 691 -> 348882 691 +11: 692 -> 349068 692 +11: 693 -> 349c1d 693 +11: 694 -> 34a1bc 694 +11: 695 -> 34adc9 695 +11: 696 -> 34b523 696 +11: 697 -> 34b956 697 +11: 698 -> 34c214 698 +11: 699 -> 34ce61 699 +11: 69a -> 34d68b 69a +11: 69b -> 34dafe 69b +11: 69c -> 34e75f 69c +11: 69d -> 34eb2a 69d +11: 69e -> 34f3c0 69e +11: 69f -> 34ffb5 69f +11: 6a0 -> 350622 6a0 +11: 6a1 -> 350a57 6a1 +11: 6a2 -> 3512bd 6a2 +11: 6a3 -> 351ec8 6a3 +11: 6a4 -> 352369 6a4 +11: 6a5 -> 352f1c 6a5 +11: 6a6 -> 3537f6 6a6 +11: 6a7 -> 353b83 6a7 +11: 6a8 -> 3540c1 6a8 +11: 6a9 -> 354cb4 6a9 +11: 6aa -> 35545e 6aa +11: 6ab -> 35582b 6ab +11: 6ac -> 35658a 6ac +11: 6ad -> 3569ff 6ad +11: 6ae -> 357115 6ae +11: 6af -> 357d60 6af +11: 6b0 -> 358791 6b0 +11: 6b1 -> 358be4 6b1 +11: 6b2 -> 35930e 6b2 +11: 6b3 -> 359f7b 6b3 +11: 6b4 -> 35a2da 6b4 +11: 6b5 -> 35aeaf 6b5 +11: 6b6 -> 35b645 6b6 +11: 6b7 -> 35ba30 6b7 +11: 6b8 -> 35c172 6b8 +11: 6b9 -> 35cd07 6b9 +11: 6ba -> 35d5ed 6ba +11: 6bb -> 35d998 6bb +11: 6bc -> 35e439 6bc +11: 6bd -> 35e84c 6bd +11: 6be -> 35f0a6 6be +11: 6bf -> 35fcd3 6bf +11: 6c0 -> 360388 6c0 +11: 6c1 -> 360ffd 6c1 +11: 6c2 -> 361717 6c2 +11: 6c3 -> 361b62 6c3 +11: 6c4 -> 3626c3 6c4 +11: 6c5 -> 362ab6 6c5 +11: 6c6 -> 36325c 6c6 +11: 6c7 -> 363e29 6c7 +11: 6c8 -> 36456b 6c8 +11: 6c9 -> 36491e 6c9 +11: 6ca -> 3651f4 6ca +11: 6cb -> 365d81 6cb +11: 6cc -> 366020 6cc +11: 6cd -> 366c55 6cd +11: 6ce -> 3674bf 6ce +11: 6cf -> 3678ca 6cf +11: 6d0 -> 36823b 6d0 +11: 6d1 -> 368e4e 6d1 +11: 6d2 -> 3696a4 6d2 +11: 6d3 -> 369ad1 6d3 +11: 6d4 -> 36a770 6d4 +11: 6d5 -> 36ab05 6d5 +11: 6d6 -> 36b3ef 6d6 +11: 6d7 -> 36bf9a 6d7 +11: 6d8 -> 36c4d8 6d8 +11: 6d9 -> 36c8ad 6d9 +11: 6da -> 36d047 6da +11: 6db -> 36dc32 6db +11: 6dc -> 36e193 6dc +11: 6dd -> 36ede6 6dd +11: 6de -> 36f50c 6de +11: 6df -> 36f979 6df +11: 6e0 -> 3700ee 6e0 +11: 6e1 -> 370c9b 6e1 +11: 6e2 -> 371471 6e2 +11: 6e3 -> 371804 6e3 +11: 6e4 -> 3725a5 6e4 +11: 6e5 -> 3729d0 6e5 +11: 6e6 -> 37313a 6e6 +11: 6e7 -> 373d4f 6e7 +11: 6e8 -> 37460d 6e8 +11: 6e9 -> 374a78 6e9 +11: 6ea -> 375292 6ea +11: 6eb -> 375ee7 6eb +11: 6ec -> 376346 6ec +11: 6ed -> 376f33 6ed +11: 6ee -> 3777d9 6ee +11: 6ef -> 377bac 6ef +11: 6f0 -> 37815d 6f0 +11: 6f1 -> 378d28 6f1 +11: 6f2 -> 3795c2 6f2 +11: 6f3 -> 3799b7 6f3 +11: 6f4 -> 37a416 6f4 +11: 6f5 -> 37a863 6f5 +11: 6f6 -> 37b089 6f6 +11: 6f7 -> 37bcfc 6f7 +11: 6f8 -> 37c7be 6f8 +11: 6f9 -> 37cbcb 6f9 +11: 6fa -> 37d321 6fa +11: 6fb -> 37df54 6fb +11: 6fc -> 37e2f5 6fc +11: 6fd -> 37ee80 6fd +11: 6fe -> 37f66a 6fe +11: 6ff -> 37fa1f 6ff +11: 700 -> 380773 700 +11: 701 -> 380b06 701 +11: 702 -> 3813ec 702 +11: 703 -> 381f99 703 +11: 704 -> 382238 704 +11: 705 -> 382e4d 705 +11: 706 -> 3836a7 706 +11: 707 -> 383ad2 707 +11: 708 -> 384190 708 +11: 709 -> 384de5 709 +11: 70a -> 38550f 70a +11: 70b -> 38597a 70b +11: 70c -> 3864db 70c +11: 70d -> 3868ae 70d +11: 70e -> 387044 70e +11: 70f -> 387c31 70f +11: 710 -> 3886c0 710 +11: 711 -> 388ab5 711 +11: 712 -> 38925f 712 +11: 713 -> 389e2a 713 +11: 714 -> 38a38b 714 +11: 715 -> 38affe 715 +11: 716 -> 38b714 716 +11: 717 -> 38bb61 717 +11: 718 -> 38c023 718 +11: 719 -> 38cc56 719 +11: 71a -> 38d4bc 71a +11: 71b -> 38d8c9 71b +3: 1000000 loops tested OK + 11/155 Test #3: test_fifo ...................................... Passed 0.47 sec +test 15 + Start 15: test_FDMDV_modem_octave_ut + +15: Test command: /usr/bin/sh "-c" " + cd /build/reproducible-path/codec2-1.2.0/octave/; + DISPLAY="" octave-cli -qf fdmdv_ut.m" +15: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +15: Test timeout computed to be: 1500 12: 800 -> 40063a 800 12: 801 -> 400a4f 801 12: 802 -> 4012a5 802 @@ -7192,233 +7033,6 @@ 12: 9c4 -> 4e278a 9c4 12: 9c5 -> 4e2bff 9c5 12: 9c6 -> 4e3315 9c6 -11: 800 -> 40063a 800 -11: 801 -> 400a4f 801 -11: 802 -> 4012a5 802 -11: 803 -> 401ed0 803 -11: 804 -> 402371 804 -11: 805 -> 402f04 805 -11: 806 -> 4037ee 806 -11: 807 -> 403b9b 807 -11: 808 -> 4040d9 808 -11: 809 -> 404cac 809 -11: 80a -> 405446 80a -11: 80b -> 405833 80b -11: 80c -> 406592 80c -11: 80d -> 4069e7 80d -11: 80e -> 40710d 80e -11: 80f -> 407d78 80f -11: 810 -> 408789 810 -11: 811 -> 408bfc 811 -11: 812 -> 409316 812 -11: 813 -> 409f63 813 -11: 814 -> 40a2c2 814 -11: 815 -> 40aeb7 815 -11: 816 -> 40b65d 816 -11: 817 -> 40ba28 817 -11: 818 -> 40c16a 818 -11: 819 -> 40cd1f 819 -11: 81a -> 40d5f5 81a -11: 81b -> 40d980 81b -11: 81c -> 40e421 81c -11: 81d -> 40e854 81d -11: 81e -> 40f0be 81e -11: 81f -> 40fccb 81f -11: 820 -> 41055c 820 -11: 821 -> 410929 821 -11: 822 -> 4111c3 822 -11: 823 -> 411db6 823 -11: 824 -> 412017 824 -11: 825 -> 412c62 825 -11: 826 -> 413488 826 -11: 827 -> 4138fd 827 -11: 828 -> 4143bf 828 -11: 829 -> 414fca 829 -11: 82a -> 415720 82a -11: 82b -> 415b55 82b -11: 82c -> 4166f4 82c -11: 82d -> 416a81 82d -11: 82e -> 41726b 82e -11: 82f -> 417e1e 82f -11: 830 -> 4184ef 830 -11: 831 -> 41889a 831 -11: 832 -> 419070 832 -11: 833 -> 419c05 833 -11: 834 -> 41a1a4 834 -11: 835 -> 41add1 835 -11: 836 -> 41b53b 836 -11: 837 -> 41b94e 837 -11: 838 -> 41c20c 838 -11: 839 -> 41ce79 839 -11: 83a -> 41d693 83a -11: 83b -> 41dae6 83b -11: 83c -> 41e747 83c -11: 83d -> 41eb32 83d -11: 83e -> 41f3d8 83e -11: 83f -> 41ffad 83f -11: 840 -> 4200f6 840 -11: 841 -> 420c83 841 -11: 842 -> 421469 842 -11: 843 -> 42181c 843 -11: 844 -> 4225bd 844 -11: 845 -> 4229c8 845 -11: 846 -> 423122 846 -11: 847 -> 423d57 847 -11: 848 -> 424615 848 -11: 849 -> 424a60 849 -11: 84a -> 42528a 84a -11: 84b -> 425eff 84b -11: 84c -> 42635e 84c -11: 84d -> 426f2b 84d -11: 84e -> 4277c1 84e -11: 84f -> 427bb4 84f -11: 850 -> 428145 850 -11: 851 -> 428d30 851 -11: 852 -> 4295da 852 -11: 853 -> 4299af 853 -11: 854 -> 42a40e 854 -11: 855 -> 42a87b 855 -11: 856 -> 42b091 856 -11: 857 -> 42bce4 857 -11: 858 -> 42c7a6 858 -11: 859 -> 42cbd3 859 -11: 85a -> 42d339 85a -11: 85b -> 42df4c 85b -11: 85c -> 42e2ed 85c -11: 85d -> 42ee98 85d -11: 85e -> 42f672 85e -11: 85f -> 42fa07 85f -11: 860 -> 430390 860 -11: 861 -> 430fe5 861 -11: 862 -> 43170f 862 -11: 863 -> 431b7a 863 -11: 864 -> 4326db 864 -11: 865 -> 432aae 865 -11: 866 -> 433244 866 -11: 867 -> 433e31 867 -11: 868 -> 434573 868 -11: 869 -> 434906 869 -11: 86a -> 4351ec 86a -11: 86b -> 435d99 86b -11: 86c -> 436038 86c -11: 86d -> 436c4d 86d -11: 86e -> 4374a7 86e -11: 86f -> 4378d2 86f -11: 870 -> 438223 870 -11: 871 -> 438e56 871 -11: 872 -> 4396bc 872 -11: 873 -> 439ac9 873 -11: 874 -> 43a768 874 -11: 875 -> 43ab1d 875 -11: 876 -> 43b3f7 876 -11: 877 -> 43bf82 877 -11: 878 -> 43c4c0 878 -11: 879 -> 43c8b5 879 -11: 87a -> 43d05f 87a -11: 87b -> 43dc2a 87b -11: 87c -> 43e18b 87c -11: 87d -> 43edfe 87d -11: 87e -> 43f514 87e -11: 87f -> 43f961 87f -11: 880 -> 4407d7 880 -11: 881 -> 440ba2 881 -11: 882 -> 441348 882 -11: 883 -> 441f3d 883 -11: 884 -> 44229c 884 -11: 885 -> 442ee9 885 -11: 886 -> 443603 886 -11: 887 -> 443a76 887 -11: 888 -> 444134 888 -11: 889 -> 444d41 889 -11: 88a -> 4455ab 88a -11: 88b -> 4459de 88b -11: 88c -> 44647f 88c -11: 88d -> 44680a 88d -11: 88e -> 4470e0 88e -11: 88f -> 447c95 88f -11: 890 -> 448664 890 -11: 891 -> 448a11 891 -11: 892 -> 4492fb 892 -11: 893 -> 449e8e 893 -11: 894 -> 44a32f 894 -11: 895 -> 44af5a 895 -11: 896 -> 44b7b0 896 -11: 897 -> 44bbc5 897 -11: 898 -> 44c087 898 -11: 899 -> 44ccf2 899 -11: 89a -> 44d418 89a -11: 89b -> 44d86d 89b -11: 89c -> 44e5cc 89c -11: 89d -> 44e9b9 89d -11: 89e -> 44f153 89e -11: 89f -> 44fd26 89f -11: 8a0 -> 4504b1 8a0 -11: 8a1 -> 4508c4 8a1 -11: 8a2 -> 45102e 8a2 -11: 8a3 -> 451c5b 8a3 -11: 8a4 -> 4521fa 8a4 -11: 8a5 -> 452d8f 8a5 -11: 8a6 -> 453565 8a6 -11: 8a7 -> 453910 8a7 -11: 8a8 -> 454252 8a8 -11: 8a9 -> 454e27 8a9 -11: 8aa -> 4556cd 8aa -11: 8ab -> 455ab8 8ab -11: 8ac -> 456719 8ac -11: 8ad -> 456b6c 8ad -11: 8ae -> 457386 8ae -11: 8af -> 457ff3 8af -11: 8b0 -> 458502 8b0 -11: 8b1 -> 458977 8b1 -11: 8b2 -> 45919d 8b2 -11: 8b3 -> 459de8 8b3 -11: 8b4 -> 45a049 8b4 -11: 8b5 -> 45ac3c 8b5 -11: 8b6 -> 45b4d6 8b6 -11: 8b7 -> 45b8a3 8b7 -11: 8b8 -> 45c3e1 8b8 -11: 8b9 -> 45cf94 8b9 -11: 8ba -> 45d77e 8ba -11: 8bb -> 45db0b 8bb -11: 8bc -> 45e6aa 8bc -11: 8bd -> 45eadf 8bd -11: 8be -> 45f235 8be -11: 8bf -> 45fe40 8bf -11: 8c0 -> 46011b 8c0 -11: 8c1 -> 460d6e 8c1 -11: 8c2 -> 461584 8c2 -11: 8c3 -> 4619f1 8c3 -11: 8c4 -> 462450 8c4 -11: 8c5 -> 462825 8c5 -11: 8c6 -> 4630cf 8c6 -11: 8c7 -> 463cba 8c7 -11: 8c8 -> 4647f8 8c8 -11: 8c9 -> 464b8d 8c9 -11: 8ca -> 465367 8ca -11: 8cb -> 465f12 8cb -11: 8cc -> 4662b3 8cc -11: 8cd -> 466ec6 8cd -11: 8ce -> 46762c 8ce -11: 8cf -> 467a59 8cf -11: 8d0 -> 4680a8 8d0 -11: 8d1 -> 468cdd 8d1 -11: 8d2 -> 469437 8d2 -11: 8d3 -> 469842 8d3 -11: 8d4 -> 46a5e3 8d4 -11: 8d5 -> 46a996 8d5 -11: 8d6 -> 46b17c 8d6 -11: 8d7 -> 46bd09 8d7 -11: 8d8 -> 46c64b 8d8 -11: 8d9 -> 46ca3e 8d9 -11: 8da -> 46d2d4 8da -11: 8db -> 46dea1 8db -11: 8dc -> 46e300 8dc -11: 8dd -> 46ef75 8dd -11: 8de -> 46f79f 8de -11: 8df -> 46fbea 8df -11: 8e0 -> 47027d 8e0 -11: 8e1 -> 470e08 8e1 -11: 8e2 -> 4716e2 8e2 12: 9c7 -> 4e3f60 9c7 12: 9c8 -> 4e4422 9c8 12: 9c9 -> 4e4857 9c9 @@ -7646,6 +7260,8 @@ 12: aa7 -> 553ea4 aa7 12: aa8 -> 5545e6 aa8 12: aa9 -> 554993 aa9 +14: LPC->{Am} SNR av: 11.49 dB over 300 frames +14: 12: aaa -> 555179 aaa 12: aab -> 555d0c aab 12: aac -> 5560ad aac @@ -7874,6 +7490,1144 @@ 12: b8b -> 5c5db0 b8b 12: b8c -> 5c6011 b8c 12: b8d -> 5c6c64 b8d +12: b8e -> 5c748e b8e +12: b8f -> 5c78fb b8f +12: b90 -> 5c820a b90 +12: b91 -> 5c8e7f b91 +12: b92 -> 5c9695 b92 +12: b93 -> 5c9ae0 b93 +12: b94 -> 5ca741 b94 +12: b95 -> 5cab34 b95 +12: b96 -> 5cb3de b96 +12: b97 -> 5cbfab b97 +12: b98 -> 5cc4e9 b98 +12: b99 -> 5cc89c b99 +12: b9a -> 5cd076 b9a +12: b9b -> 5cdc03 b9b +12: b9c -> 5ce1a2 b9c +12: b9d -> 5cedd7 b9d +12: b9e -> 5cf53d b9e +12: b9f -> 5cf948 b9f +12: ba0 -> 5d00df ba0 +12: ba1 -> 5d0caa ba1 +12: ba2 -> 5d1440 ba2 +12: ba3 -> 5d1835 ba3 +12: ba4 -> 5d2594 ba4 +12: ba5 -> 5d29e1 ba5 +12: ba6 -> 5d310b ba6 +12: ba7 -> 5d3d7e ba7 +12: ba8 -> 5d463c ba8 +12: ba9 -> 5d4a49 ba9 +12: baa -> 5d52a3 baa +12: bab -> 5d5ed6 bab +12: bac -> 5d6377 bac +12: bad -> 5d6f02 bad +12: bae -> 5d77e8 bae +12: baf -> 5d7b9d baf +12: bb0 -> 5d816c bb0 +12: bb1 -> 5d8d19 bb1 +12: bb2 -> 5d95f3 bb2 +12: bb3 -> 5d9986 bb3 +12: bb4 -> 5da427 bb4 +12: bb5 -> 5da852 bb5 +12: bb6 -> 5db0b8 bb6 +12: bb7 -> 5dbccd bb7 +12: bb8 -> 5dc78f bb8 +12: bb9 -> 5dcbfa bb9 +12: bba -> 5dd310 bba +12: bbb -> 5ddf65 bbb +12: bbc -> 5de2c4 bbc +12: bbd -> 5deeb1 bbd +12: bbe -> 5df65b bbe +12: bbf -> 5dfa2e bbf +12: bc0 -> 5e0575 bc0 +12: bc1 -> 5e0900 bc1 +12: bc2 -> 5e11ea bc2 +12: bc3 -> 5e1d9f bc3 +12: bc4 -> 5e203e bc4 +12: bc5 -> 5e2c4b bc5 +12: bc6 -> 5e34a1 bc6 +12: bc7 -> 5e38d4 bc7 +12: bc8 -> 5e4396 bc8 +12: bc9 -> 5e4fe3 bc9 +12: bca -> 5e5709 bca +12: bcb -> 5e5b7c bcb +12: bcc -> 5e66dd bcc +12: bcd -> 5e6aa8 bcd +12: bce -> 5e7242 bce +12: bcf -> 5e7e37 bcf +12: bd0 -> 5e84c6 bd0 +12: bd1 -> 5e88b3 bd1 +12: bd2 -> 5e9059 bd2 +12: bd3 -> 5e9c2c bd3 +12: bd4 -> 5ea18d bd4 +12: bd5 -> 5eadf8 bd5 +12: bd6 -> 5eb512 bd6 +12: bd7 -> 5eb967 bd7 +12: bd8 -> 5ec225 bd8 +12: bd9 -> 5ece50 bd9 +12: bda -> 5ed6ba bda +12: bdb -> 5edacf bdb +12: bdc -> 5ee76e bdc +12: bdd -> 5eeb1b bdd +12: bde -> 5ef3f1 bde +12: bdf -> 5eff84 bdf +12: be0 -> 5f0613 be0 +12: be1 -> 5f0a66 be1 +12: be2 -> 5f128c be2 +12: be3 -> 5f1ef9 be3 +12: be4 -> 5f2358 be4 +12: be5 -> 5f2f2d be5 +12: be6 -> 5f37c7 be6 +12: be7 -> 5f3bb2 be7 +12: be8 -> 5f40f0 be8 +12: be9 -> 5f4c85 be9 +12: bea -> 5f546f bea +12: beb -> 5f581a beb +12: bec -> 5f65bb bec +12: bed -> 5f69ce bed +12: bee -> 5f7124 bee +12: bef -> 5f7d51 bef +12: bf0 -> 5f87a0 bf0 +12: bf1 -> 5f8bd5 bf1 +12: bf2 -> 5f933f bf2 +12: bf3 -> 5f9f4a bf3 +12: bf4 -> 5fa2eb bf4 +12: bf5 -> 5fae9e bf5 +12: bf6 -> 5fb674 bf6 +12: bf7 -> 5fba01 bf7 +12: bf8 -> 5fc143 bf8 +12: bf9 -> 5fcd36 bf9 +12: bfa -> 5fd5dc bfa +12: bfb -> 5fd9a9 bfb +12: bfc -> 5fe408 bfc +12: bfd -> 5fe87d bfd +12: bfe -> 5ff097 bfe +12: bff -> 5ffce2 bff +12: c00 -> 600527 c00 +12: c01 -> 600952 c01 +12: c02 -> 6011b8 c02 +12: c03 -> 601dcd c03 +12: c04 -> 60206c c04 +12: c05 -> 602c19 c05 +12: c06 -> 6034f3 c06 +12: c07 -> 603886 c07 +12: c08 -> 6043c4 c08 +12: c09 -> 604fb1 c09 +12: c0a -> 60575b c0a +12: c0b -> 605b2e c0b +12: c0c -> 60668f c0c +12: c0d -> 606afa c0d +12: c0e -> 607210 c0e +12: c0f -> 607e65 c0f +12: c10 -> 608494 c10 +12: c11 -> 6088e1 c11 +12: c12 -> 60900b c12 +12: c13 -> 609c7e c13 +12: c14 -> 60a1df c14 +12: c15 -> 60adaa c15 +12: c16 -> 60b540 c16 +12: c17 -> 60b935 c17 +12: c18 -> 60c277 c18 +12: c19 -> 60ce02 c19 +12: c1a -> 60d6e8 c1a +12: c1b -> 60da9d c1b +12: c1c -> 60e73c c1c +12: c1d -> 60eb49 c1d +12: c1e -> 60f3a3 c1e +12: c1f -> 60ffd6 c1f +12: c20 -> 610641 c20 +12: c21 -> 610a34 c21 +12: c22 -> 6112de c22 +12: c23 -> 611eab c23 +12: c24 -> 61230a c24 +12: c25 -> 612f7f c25 +12: c26 -> 613795 c26 +12: c27 -> 613be0 c27 +12: c28 -> 6140a2 c28 +12: c29 -> 614cd7 c29 +12: c2a -> 61543d c2a +12: c2b -> 615848 c2b +12: c2c -> 6165e9 c2c +12: c2d -> 61699c c2d +12: c2e -> 617176 c2e +12: c2f -> 617d03 c2f +12: c30 -> 6187f2 c30 +12: c31 -> 618b87 c31 +12: c32 -> 61936d c32 +12: c33 -> 619f18 c33 +12: c34 -> 61a2b9 c34 +12: c35 -> 61aecc c35 +12: c36 -> 61b626 c36 +12: c37 -> 61ba53 c37 +12: c38 -> 61c111 c38 +12: c39 -> 61cd64 c39 +12: c3a -> 61d58e c3a +12: c3b -> 61d9fb c3b +12: c3c -> 61e45a c3c +12: c3d -> 61e82f c3d +12: c3e -> 61f0c5 c3e +12: c3f -> 61fcb0 c3f +12: c40 -> 6203eb c40 +12: c41 -> 620f9e c41 +12: c42 -> 621774 c42 +12: c43 -> 621b01 c43 +12: c44 -> 6226a0 c44 +12: c45 -> 622ad5 c45 +12: c46 -> 62323f c46 +12: c47 -> 623e4a c47 +12: c48 -> 624508 c48 +12: c49 -> 62497d c49 +12: c4a -> 625197 c4a +12: c4b -> 625de2 c4b +12: c4c -> 626043 c4c +12: c4d -> 626c36 c4d +12: c4e -> 6274dc c4e +12: c4f -> 6278a9 c4f +12: c50 -> 628258 c50 +12: c51 -> 628e2d c51 +12: c52 -> 6296c7 c52 +12: c53 -> 629ab2 c53 +12: c54 -> 62a713 c54 +12: c55 -> 62ab66 c55 +12: c56 -> 62b38c c56 +12: c57 -> 62bff9 c57 +12: c58 -> 62c4bb c58 +12: c59 -> 62c8ce c59 +12: c5a -> 62d024 c5a +12: c5b -> 62dc51 c5b +12: c5c -> 62e1f0 c5c +12: c5d -> 62ed85 c5d +12: c5e -> 62f56f c5e +12: c5f -> 62f91a c5f +12: c60 -> 63008d c60 +12: c61 -> 630cf8 c61 +12: c62 -> 631412 c62 +12: c63 -> 631867 c63 +12: c64 -> 6325c6 c64 +12: c65 -> 6329b3 c65 +12: c66 -> 633159 c66 +12: c67 -> 633d2c c67 +12: c68 -> 63466e c68 +12: c69 -> 634a1b c69 +12: c6a -> 6352f1 c6a +12: c6b -> 635e84 c6b +12: c6c -> 636325 c6c +12: c6d -> 636f50 c6d +12: c6e -> 6377ba c6e +12: c6f -> 637bcf c6f +12: c70 -> 63813e c70 +12: c71 -> 638d4b c71 +12: c72 -> 6395a1 c72 +12: c73 -> 6399d4 c73 +12: c74 -> 63a475 c74 +12: c75 -> 63a800 c75 +12: c76 -> 63b0ea c76 +12: c77 -> 63bc9f c77 +12: c78 -> 63c7dd c78 +12: c79 -> 63cba8 c79 +12: c7a -> 63d342 c7a +12: c7b -> 63df37 c7b +12: c7c -> 63e296 c7c +12: c7d -> 63eee3 c7d +12: c7e -> 63f609 c7e +12: c7f -> 63fa7c c7f +12: c80 -> 6404ca c80 +12: c81 -> 6408bf c81 +12: c82 -> 641055 c82 +12: c83 -> 641c20 c83 +12: c84 -> 642181 c84 +12: c85 -> 642df4 c85 +12: c86 -> 64351e c86 +12: c87 -> 64396b c87 +12: c88 -> 644229 c88 +12: c89 -> 644e5c c89 +12: c8a -> 6456b6 c8a +12: c8b -> 645ac3 c8b +12: c8c -> 646762 c8c +12: c8d -> 646b17 c8d +12: c8e -> 6473fd c8e +12: c8f -> 647f88 c8f +12: c90 -> 648579 c90 +12: c91 -> 64890c c91 +12: c92 -> 6491e6 c92 +12: c93 -> 649d93 c93 +12: c94 -> 64a032 c94 +12: c95 -> 64ac47 c95 +12: c96 -> 64b4ad c96 +12: c97 -> 64b8d8 c97 +12: c98 -> 64c39a c98 +12: c99 -> 64cfef c99 +12: c9a -> 64d705 c9a +12: c9b -> 64db70 c9b +12: c9c -> 64e6d1 c9c +12: c9d -> 64eaa4 c9d +12: c9e -> 64f24e c9e +12: c9f -> 64fe3b c9f +12: ca0 -> 6507ac ca0 +12: ca1 -> 650bd9 ca1 +12: ca2 -> 651333 ca2 +12: ca3 -> 651f46 ca3 +12: ca4 -> 6522e7 ca4 +12: ca5 -> 652e92 ca5 +12: ca6 -> 653678 ca6 +12: ca7 -> 653a0d ca7 +12: ca8 -> 65414f ca8 +12: ca9 -> 654d3a ca9 +12: caa -> 6555d0 caa +12: cab -> 6559a5 cab +12: cac -> 656404 cac +12: cad -> 656871 cad +12: cae -> 65709b cae +12: caf -> 657cee caf +12: cb0 -> 65861f cb0 +12: cb1 -> 658a6a cb1 +12: cb2 -> 659280 cb2 +12: cb3 -> 659ef5 cb3 +12: cb4 -> 65a354 cb4 +12: cb5 -> 65af21 cb5 +12: cb6 -> 65b7cb cb6 +12: cb7 -> 65bbbe cb7 +12: cb8 -> 65c0fc cb8 +12: cb9 -> 65cc89 cb9 +12: cba -> 65d463 cba +12: cbb -> 65d816 cbb +12: cbc -> 65e5b7 cbc +12: cbd -> 65e9c2 cbd +12: cbe -> 65f128 cbe +12: cbf -> 65fd5d cbf +12: cc0 -> 660206 cc0 +12: cc1 -> 660e73 cc1 +12: cc2 -> 661699 cc2 +12: cc3 -> 661aec cc3 +12: cc4 -> 66274d cc4 +12: cc5 -> 662b38 cc5 +12: cc6 -> 6633d2 cc6 +12: cc7 -> 663fa7 cc7 +12: cc8 -> 6644e5 cc8 +12: cc9 -> 664890 cc9 +12: cca -> 66507a cca +12: ccb -> 665c0f ccb +12: ccc -> 6661ae ccc +12: ccd -> 666ddb ccd +12: cce -> 667531 cce +12: ccf -> 667944 ccf +12: cd0 -> 6683b5 cd0 +12: cd1 -> 668fc0 cd1 +12: cd2 -> 66972a cd2 +12: cd3 -> 669b5f cd3 +12: cd4 -> 66a6fe cd4 +12: cd5 -> 66aa8b cd5 +12: cd6 -> 66b261 cd6 +12: cd7 -> 66be14 cd7 +12: cd8 -> 66c556 cd8 +12: cd9 -> 66c923 cd9 +12: cda -> 66d1c9 cda +12: cdb -> 66ddbc cdb +12: cdc -> 66e01d cdc +12: cdd -> 66ec68 cdd +12: cde -> 66f482 cde +12: cdf -> 66f8f7 cdf +12: ce0 -> 670160 ce0 +12: ce1 -> 670d15 ce1 +12: ce2 -> 6715ff ce2 +12: ce3 -> 67198a ce3 +12: ce4 -> 67242b ce4 +12: ce5 -> 67285e ce5 +12: ce6 -> 6730b4 ce6 +12: ce7 -> 673cc1 ce7 +12: ce8 -> 674783 ce8 +12: ce9 -> 674bf6 ce9 +12: cea -> 67531c cea +12: ceb -> 675f69 ceb +12: cec -> 6762c8 cec +12: ced -> 676ebd ced +12: cee -> 677657 cee +12: cef -> 677a22 cef +12: cf0 -> 6780d3 cf0 +12: cf1 -> 678ca6 cf1 +12: cf2 -> 67944c cf2 +12: cf3 -> 679839 cf3 +12: cf4 -> 67a598 cf4 +12: cf5 -> 67a9ed cf5 +12: cf6 -> 67b107 cf6 +12: cf7 -> 67bd72 cf7 +12: cf8 -> 67c630 cf8 +12: cf9 -> 67ca45 cf9 +12: cfa -> 67d2af cfa +12: cfb -> 67deda cfb +12: cfc -> 67e37b cfc +12: cfd -> 67ef0e cfd +12: cfe -> 67f7e4 cfe +12: cff -> 67fb91 cff +12: d00 -> 6806fd d00 +12: d01 -> 680a88 d01 +12: d02 -> 681262 d02 +12: d03 -> 681e17 d03 +12: d04 -> 6823b6 d04 +12: d05 -> 682fc3 d05 +12: d06 -> 683729 d06 +12: d07 -> 683b5c d07 +12: d08 -> 68401e d08 +12: d09 -> 684c6b d09 +12: d0a -> 685481 d0a +12: d0b -> 6858f4 d0b +12: d0c -> 686555 d0c +12: d0d -> 686920 d0d +12: d0e -> 6871ca d0e +12: d0f -> 687dbf d0f +12: d10 -> 68874e d10 +12: d11 -> 688b3b d11 +12: d12 -> 6893d1 d12 +12: d13 -> 689fa4 d13 +12: d14 -> 68a205 d14 +12: d15 -> 68ae70 d15 +12: d16 -> 68b69a d16 +12: d17 -> 68baef d17 +12: d18 -> 68c1ad d18 +12: d19 -> 68cdd8 d19 +12: d1a -> 68d532 d1a +12: d1b -> 68d947 d1b +12: d1c -> 68e4e6 d1c +12: d1d -> 68e893 d1d +12: d1e -> 68f079 d1e +12: d1f -> 68fc0c d1f +12: d20 -> 69059b d20 +12: d21 -> 6909ee d21 +12: d22 -> 691104 d22 +12: d23 -> 691d71 d23 +12: d24 -> 6920d0 d24 +12: d25 -> 692ca5 d25 +12: d26 -> 69344f d26 +12: d27 -> 69383a d27 +12: d28 -> 694378 d28 +12: d29 -> 694f0d d29 +12: d2a -> 6957e7 d2a +12: d2b -> 695b92 d2b +12: d2c -> 696633 d2c +12: d2d -> 696a46 d2d +12: d2e -> 6972ac d2e +12: d2f -> 697ed9 d2f +12: d30 -> 698428 d30 +12: d31 -> 69885d d31 +12: d32 -> 6990b7 d32 +12: d33 -> 699cc2 d33 +12: d34 -> 69a163 d34 +12: d35 -> 69ad16 d35 +12: d36 -> 69b5fc d36 +12: d37 -> 69b989 d37 +12: d38 -> 69c2cb d38 +12: d39 -> 69cebe d39 +12: d3a -> 69d654 d3a +12: d3b -> 69da21 d3b +12: d3c -> 69e780 d3c +12: d3d -> 69ebf5 d3d +12: d3e -> 69f31f d3e +12: d3f -> 69ff6a d3f +12: d40 -> 6a0031 d40 +12: d41 -> 6a0c44 d41 +12: d42 -> 6a14ae d42 +12: d43 -> 6a18db d43 +12: d44 -> 6a257a d44 +12: d45 -> 6a290f d45 +12: d46 -> 6a31e5 d46 +12: d47 -> 6a3d90 d47 +12: d48 -> 6a46d2 d48 +12: d49 -> 6a4aa7 d49 +12: d4a -> 6a524d d4a +12: d4b -> 6a5e38 d4b +12: d4c -> 6a6399 d4c +12: d4d -> 6a6fec d4d +12: d4e -> 6a7706 d4e +12: d4f -> 6a7b73 d4f +12: d50 -> 6a8182 d50 +12: d51 -> 6a8df7 d51 +12: d52 -> 6a951d d52 +12: d53 -> 6a9968 d53 +12: d54 -> 6aa4c9 d54 +12: d55 -> 6aa8bc d55 +12: d56 -> 6ab056 d56 +12: d57 -> 6abc23 d57 +12: d58 -> 6ac761 d58 +12: d59 -> 6acb14 d59 +12: d5a -> 6ad3fe d5a +12: d5b -> 6adf8b d5b +12: d5c -> 6ae22a d5c +12: d5d -> 6aee5f d5d +12: d5e -> 6af6b5 d5e +12: d5f -> 6afac0 d5f +12: d60 -> 6b0357 d60 +12: d61 -> 6b0f22 d61 +12: d62 -> 6b17c8 d62 +12: d63 -> 6b1bbd d63 +12: d64 -> 6b261c d64 +12: d65 -> 6b2a69 d65 +12: d66 -> 6b3283 d66 +12: d67 -> 6b3ef6 d67 +12: d68 -> 6b45b4 d68 +12: d69 -> 6b49c1 d69 +12: d6a -> 6b512b d6a +12: d6b -> 6b5d5e d6b +12: d6c -> 6b60ff d6c +12: d6d -> 6b6c8a d6d +12: d6e -> 6b7460 d6e +12: d6f -> 6b7815 d6f +12: d70 -> 6b82e4 d70 +12: d71 -> 6b8e91 d71 +12: d72 -> 6b967b d72 +12: d73 -> 6b9a0e d73 +12: d74 -> 6ba7af d74 +12: d75 -> 6babda d75 +12: d76 -> 6bb330 d76 +12: d77 -> 6bbf45 d77 +12: d78 -> 6bc407 d78 +12: d79 -> 6bc872 d79 +12: d7a -> 6bd098 d7a +12: d7b -> 6bdced d7b +12: d7c -> 6be14c d7c +12: d7d -> 6bed39 d7d +12: d7e -> 6bf5d3 d7e +12: d7f -> 6bf9a6 d7f +12: d80 -> 6c0710 d80 +12: d81 -> 6c0b65 d81 +12: d82 -> 6c138f d82 +12: d83 -> 6c1ffa d83 +12: d84 -> 6c225b d84 +12: d85 -> 6c2e2e d85 +12: d86 -> 6c36c4 d86 +12: d87 -> 6c3ab1 d87 +12: d88 -> 6c41f3 d88 +12: d89 -> 6c4d86 d89 +12: d8a -> 6c556c d8a +12: d8b -> 6c5919 d8b +12: d8c -> 6c64b8 d8c +12: d8d -> 6c68cd d8d +12: d8e -> 6c7027 d8e +12: d8f -> 6c7c52 d8f +12: d90 -> 6c86a3 d90 +12: d91 -> 6c8ad6 d91 +12: d92 -> 6c923c d92 +12: d93 -> 6c9e49 d93 +12: d94 -> 6ca3e8 d94 +12: d95 -> 6caf9d d95 +12: d96 -> 6cb777 d96 +12: d97 -> 6cbb02 d97 +12: d98 -> 6cc040 d98 +12: d99 -> 6ccc35 d99 +12: d9a -> 6cd4df d9a +12: d9b -> 6cd8aa d9b +12: d9c -> 6ce50b d9c +12: d9d -> 6ce97e d9d +12: d9e -> 6cf194 d9e +12: d9f -> 6cfde1 d9f +12: da0 -> 6d0476 da0 +12: da1 -> 6d0803 da1 +12: da2 -> 6d10e9 da2 +12: da3 -> 6d1c9c da3 +12: da4 -> 6d213d da4 +12: da5 -> 6d2d48 da5 +12: da6 -> 6d35a2 da6 +12: da7 -> 6d39d7 da7 +12: da8 -> 6d4295 da8 +12: da9 -> 6d4ee0 da9 +12: daa -> 6d560a daa +12: dab -> 6d5a7f dab +12: dac -> 6d67de dac +12: dad -> 6d6bab dad +12: dae -> 6d7341 dae +12: daf -> 6d7f34 daf +12: db0 -> 6d85c5 db0 +12: db1 -> 6d89b0 db1 +12: db2 -> 6d915a db2 +12: db3 -> 6d9d2f db3 +12: db4 -> 6da08e db4 +12: db5 -> 6dacfb db5 +12: db6 -> 6db411 db6 +12: db7 -> 6db864 db7 +12: db8 -> 6dc326 db8 +12: db9 -> 6dcf53 db9 +12: dba -> 6dd7b9 dba +12: dbb -> 6ddbcc dbb +12: dbc -> 6de66d dbc +12: dbd -> 6dea18 dbd +12: dbe -> 6df2f2 dbe +12: dbf -> 6dfe87 dbf +12: dc0 -> 6e01dc dc0 +12: dc1 -> 6e0da9 dc1 +12: dc2 -> 6e1543 dc2 +12: dc3 -> 6e1936 dc3 +12: dc4 -> 6e2497 dc4 +12: dc5 -> 6e28e2 dc5 +12: dc6 -> 6e3008 dc6 +12: dc7 -> 6e3c7d dc7 +12: dc8 -> 6e473f dc8 +12: dc9 -> 6e4b4a dc9 +12: dca -> 6e53a0 dca +12: dcb -> 6e5fd5 dcb +12: dcc -> 6e6274 dcc +12: dcd -> 6e6e01 dcd +12: dce -> 6e76eb dce +12: dcf -> 6e7a9e dcf +12: dd0 -> 6e806f dd0 +12: dd1 -> 6e8c1a dd1 +12: dd2 -> 6e94f0 dd2 +12: dd3 -> 6e9885 dd3 +12: dd4 -> 6ea524 dd4 +12: dd5 -> 6ea951 dd5 +12: dd6 -> 6eb1bb dd6 +12: dd7 -> 6ebdce dd7 +12: dd8 -> 6ec68c dd8 +12: dd9 -> 6ecaf9 dd9 +12: dda -> 6ed213 dda +12: ddb -> 6ede66 ddb +12: ddc -> 6ee3c7 ddc +12: ddd -> 6eefb2 ddd +12: dde -> 6ef758 dde +12: ddf -> 6efb2d ddf +12: de0 -> 6f02ba de0 +12: de1 -> 6f0ecf de1 +12: de2 -> 6f1625 de2 +12: de3 -> 6f1a50 de3 +12: de4 -> 6f27f1 de4 +12: de5 -> 6f2b84 de5 +12: de6 -> 6f336e de6 +12: de7 -> 6f3f1b de7 +12: de8 -> 6f4459 de8 +12: de9 -> 6f482c de9 +12: dea -> 6f50c6 dea +12: deb -> 6f5cb3 deb +12: dec -> 6f6112 dec +12: ded -> 6f6d67 ded +12: dee -> 6f758d dee +12: def -> 6f79f8 def +12: df0 -> 6f8309 df0 +12: df1 -> 6f8f7c df1 +12: df2 -> 6f9796 df2 +12: df3 -> 6f9be3 df3 +12: df4 -> 6fa642 df4 +12: df5 -> 6faa37 df5 +12: df6 -> 6fb2dd df6 +12: df7 -> 6fbea8 df7 +12: df8 -> 6fc5ea df8 +12: df9 -> 6fc99f df9 +12: dfa -> 6fd175 dfa +12: dfb -> 6fdd00 dfb +12: dfc -> 6fe0a1 dfc +12: dfd -> 6fecd4 dfd +12: dfe -> 6ff43e dfe +12: dff -> 6ff84b dff +12: e00 -> 700293 e00 +12: e01 -> 700ee6 e01 +12: e02 -> 70160c e02 +12: e03 -> 701a79 e03 +12: e04 -> 7027d8 e04 +12: e05 -> 702bad e05 +12: e06 -> 703347 e06 +12: e07 -> 703f32 e07 +12: e08 -> 704470 e08 +12: e09 -> 704805 e09 +12: e0a -> 7050ef e0a +12: e0b -> 705c9a e0b +12: e0c -> 70613b e0c +12: e0d -> 706d4e e0d +12: e0e -> 7075a4 e0e +12: e0f -> 7079d1 e0f +12: e10 -> 708320 e10 +12: e11 -> 708f55 e11 +12: e12 -> 7097bf e12 +12: e13 -> 709bca e13 +12: e14 -> 70a66b e14 +12: e15 -> 70aa1e e15 +12: e16 -> 70b2f4 e16 +12: e17 -> 70be81 e17 +12: e18 -> 70c5c3 e18 +12: e19 -> 70c9b6 e19 +12: e1a -> 70d15c e1a +12: e1b -> 70dd29 e1b +12: e1c -> 70e088 e1c +12: e1d -> 70ecfd e1d +12: e1e -> 70f417 e1e +12: e1f -> 70f862 e1f +12: e20 -> 7101f5 e20 +12: e21 -> 710d80 e21 +12: e22 -> 71156a e22 +12: e23 -> 71191f e23 +12: e24 -> 7124be e24 +12: e25 -> 7128cb e25 +12: e26 -> 713021 e26 +12: e27 -> 713c54 e27 +12: e28 -> 714716 e28 +12: e29 -> 714b63 e29 +12: e2a -> 715389 e2a +12: e2b -> 715ffc e2b +12: e2c -> 71625d e2c +12: e2d -> 716e28 e2d +12: e2e -> 7176c2 e2e +12: e2f -> 717ab7 e2f +12: e30 -> 718046 e30 +12: e31 -> 718c33 e31 +12: e32 -> 7194d9 e32 +12: e33 -> 7198ac e33 +12: e34 -> 71a50d e34 +12: e35 -> 71a978 e35 +12: e36 -> 71b192 e36 +12: e37 -> 71bde7 e37 +15: /usr/bin/sh: line 3: octave-cli: command not found +11: 71c -> 38e568 71c +11: 71d -> 38e91d 71d +11: 71e -> 38f1f7 71e +11: 71f -> 38fd82 71f +11: 720 -> 390415 720 +11: 721 -> 390860 721 +11: 722 -> 39108a 722 +11: 723 -> 391cff 723 +11: 724 -> 39215e 724 +11: 725 -> 392d2b 725 +11: 726 -> 3935c1 726 +11: 727 -> 3939b4 727 +11: 728 -> 3942f6 728 +11: 729 -> 394e83 729 +11: 72a -> 395669 72a +11: 72b -> 395a1c 72b +11: 72c -> 3967bd 72c +11: 72d -> 396bc8 72d +11: 72e -> 397322 72e +11: 72f -> 397f57 72f +11: 730 -> 3985a6 730 +11: 731 -> 3989d3 731 +11: 732 -> 399139 732 +11: 733 -> 399d4c 733 +11: 734 -> 39a0ed 734 +11: 735 -> 39ac98 735 +11: 736 -> 39b472 736 +11: 737 -> 39b807 737 +11: 738 -> 39c345 738 +11: 739 -> 39cf30 739 +11: 73a -> 39d7da 73a +11: 73b -> 39dbaf 73b +11: 73c -> 39e60e 73c +11: 73d -> 39ea7b 73d +11: 73e -> 39f291 73e +11: 73f -> 39fee4 73f +11: 740 -> 3a01bf 740 +11: 741 -> 3a0dca 741 +11: 742 -> 3a1520 742 +11: 743 -> 3a1955 743 +11: 744 -> 3a24f4 744 +11: 745 -> 3a2881 745 +11: 746 -> 3a306b 746 +11: 747 -> 3a3c1e 747 +11: 748 -> 3a475c 748 +11: 749 -> 3a4b29 749 +11: 74a -> 3a53c3 74a +11: 74b -> 3a5fb6 74b +11: 74c -> 3a6217 74c +11: 74d -> 3a6e62 74d +11: 74e -> 3a7688 74e +11: 74f -> 3a7afd 74f +11: 750 -> 3a800c 750 +11: 751 -> 3a8c79 751 +11: 752 -> 3a9493 752 +11: 753 -> 3a98e6 753 +11: 754 -> 3aa547 754 +11: 755 -> 3aa932 755 +11: 756 -> 3ab1d8 756 +11: 757 -> 3abdad 757 +11: 758 -> 3ac6ef 758 +11: 759 -> 3aca9a 759 +11: 75a -> 3ad270 75a +11: 75b -> 3ade05 75b +11: 75c -> 3ae3a4 75c +11: 75d -> 3aefd1 75d +11: 75e -> 3af73b 75e +11: 75f -> 3afb4e 75f +11: 760 -> 3b02d9 760 +11: 761 -> 3b0eac 761 +11: 762 -> 3b1646 762 +11: 763 -> 3b1a33 763 +11: 764 -> 3b2792 764 +11: 765 -> 3b2be7 765 +11: 766 -> 3b330d 766 +11: 767 -> 3b3f78 767 +11: 768 -> 3b443a 768 +11: 769 -> 3b484f 769 +11: 76a -> 3b50a5 76a +11: 76b -> 3b5cd0 76b +11: 76c -> 3b6171 76c +11: 76d -> 3b6d04 76d +11: 76e -> 3b75ee 76e +11: 76f -> 3b799b 76f +11: 770 -> 3b836a 770 +11: 771 -> 3b8f1f 771 +11: 772 -> 3b97f5 772 +11: 773 -> 3b9b80 773 +11: 774 -> 3ba621 774 +11: 775 -> 3baa54 775 +11: 776 -> 3bb2be 776 +11: 777 -> 3bbecb 777 +11: 778 -> 3bc589 778 +11: 779 -> 3bc9fc 779 +11: 77a -> 3bd116 77a +11: 77b -> 3bdd63 77b +11: 77c -> 3be0c2 77c +11: 77d -> 3becb7 77d +11: 77e -> 3bf45d 77e +11: 77f -> 3bf828 77f +11: 780 -> 3c069e 780 +11: 781 -> 3c0aeb 781 +11: 782 -> 3c1201 782 +11: 783 -> 3c1e74 783 +11: 784 -> 3c23d5 784 +11: 785 -> 3c2fa0 785 +11: 786 -> 3c374a 786 +11: 787 -> 3c3b3f 787 +11: 788 -> 3c407d 788 +11: 789 -> 3c4c08 789 +11: 78a -> 3c54e2 78a +11: 78b -> 3c5897 78b +11: 78c -> 3c6536 78c +11: 78d -> 3c6943 78d +11: 78e -> 3c71a9 78e +11: 78f -> 3c7ddc 78f +11: 790 -> 3c872d 790 +11: 791 -> 3c8b58 791 +11: 792 -> 3c93b2 792 +11: 793 -> 3c9fc7 793 +11: 794 -> 3ca266 794 +11: 795 -> 3cae13 795 +11: 796 -> 3cb6f9 796 +11: 797 -> 3cba8c 797 +11: 798 -> 3cc1ce 798 +11: 799 -> 3ccdbb 799 +11: 79a -> 3cd551 79a +11: 79b -> 3cd924 79b +11: 79c -> 3ce485 79c +11: 79d -> 3ce8f0 79d +11: 79e -> 3cf01a 79e +11: 79f -> 3cfc6f 79f +11: 7a0 -> 3d05f8 7a0 +11: 7a1 -> 3d098d 7a1 +11: 7a2 -> 3d1167 7a2 +11: 7a3 -> 3d1d12 7a3 +11: 7a4 -> 3d20b3 7a4 +11: 7a5 -> 3d2cc6 7a5 +11: 7a6 -> 3d342c 7a6 +11: 7a7 -> 3d3859 7a7 +11: 7a8 -> 3d431b 7a8 +11: 7a9 -> 3d4f6e 7a9 +11: 7aa -> 3d5784 7aa +11: 7ab -> 3d5bf1 7ab +11: 7ac -> 3d6650 7ac +11: 7ad -> 3d6a25 7ad +11: 7ae -> 3d72cf 7ae +11: 7af -> 3d7eba 7af +11: 7b0 -> 3d844b 7b0 +11: 7b1 -> 3d883e 7b1 +11: 7b2 -> 3d90d4 7b2 +11: 7b3 -> 3d9ca1 7b3 +11: 7b4 -> 3da100 7b4 +11: 7b5 -> 3dad75 7b5 +11: 7b6 -> 3db59f 7b6 +11: 7b7 -> 3db9ea 7b7 +11: 7b8 -> 3dc2a8 7b8 +11: 7b9 -> 3dcedd 7b9 +11: 7ba -> 3dd637 7ba +11: 7bb -> 3dda42 7bb +11: 7bc -> 3de7e3 7bc +11: 7bd -> 3deb96 7bd +11: 7be -> 3df37c 7be +11: 7bf -> 3dff09 7bf +11: 7c0 -> 3e0052 7c0 +11: 7c1 -> 3e0c27 7c1 +11: 7c2 -> 3e14cd 7c2 +11: 7c3 -> 3e18b8 7c3 +11: 7c4 -> 3e2519 7c4 +11: 7c5 -> 3e296c 7c5 +11: 7c6 -> 3e3186 7c6 +11: 7c7 -> 3e3df3 7c7 +11: 7c8 -> 3e46b1 7c8 +11: 7c9 -> 3e4ac4 7c9 +11: 7ca -> 3e522e 7ca +11: 7cb -> 3e5e5b 7cb +11: 7cc -> 3e63fa 7cc +11: 7cd -> 3e6f8f 7cd +11: 7ce -> 3e7765 7ce +11: 7cf -> 3e7b10 7cf +11: 7d0 -> 3e81e1 7d0 +11: 7d1 -> 3e8d94 7d1 +11: 7d2 -> 3e957e 7d2 +11: 7d3 -> 3e990b 7d3 +11: 7d4 -> 3ea4aa 7d4 +11: 7d5 -> 3ea8df 7d5 +11: 7d6 -> 3eb035 7d6 +11: 7d7 -> 3ebc40 7d7 +11: 7d8 -> 3ec702 7d8 +11: 7d9 -> 3ecb77 7d9 +11: 7da -> 3ed39d 7da +11: 7db -> 3edfe8 7db +11: 7dc -> 3ee249 7dc +11: 7dd -> 3eee3c 7dd +11: 7de -> 3ef6d6 7de +11: 7df -> 3efaa3 7df +11: 7e0 -> 3f0334 7e0 +11: 7e1 -> 3f0f41 7e1 +11: 7e2 -> 3f17ab 7e2 +11: 7e3 -> 3f1bde 7e3 +11: 7e4 -> 3f267f 7e4 +11: 7e5 -> 3f2a0a 7e5 +11: 7e6 -> 3f32e0 7e6 +11: 7e7 -> 3f3e95 7e7 +11: 7e8 -> 3f45d7 7e8 +11: 7e9 -> 3f49a2 7e9 +11: 7ea -> 3f5148 7ea +11: 7eb -> 3f5d3d 7eb +11: 7ec -> 3f609c 7ec +11: 7ed -> 3f6ce9 7ed +11: 7ee -> 3f7403 7ee +11: 7ef -> 3f7876 7ef +11: 7f0 -> 3f8287 7f0 +11: 7f1 -> 3f8ef2 7f1 +11: 7f2 -> 3f9618 7f2 +11: 7f3 -> 3f9a6d 7f3 +11: 7f4 -> 3fa7cc 7f4 +11: 7f5 -> 3fabb9 7f5 +11: 7f6 -> 3fb353 7f6 +11: 7f7 -> 3fbf26 7f7 +11: 7f8 -> 3fc464 7f8 +11: 7f9 -> 3fc811 7f9 +11: 7fa -> 3fd0fb 7fa +11: 7fb -> 3fdc8e 7fb +11: 7fc -> 3fe12f 7fc +11: 7fd -> 3fed5a 7fd +11: 7fe -> 3ff5b0 7fe +11: 7ff -> 3ff9c5 7ff +11: 800 -> 40063a 800 +11: 801 -> 400a4f 801 +11: 802 -> 4012a5 802 +11: 803 -> 401ed0 803 +11: 804 -> 402371 804 +11: 805 -> 402f04 805 +11: 806 -> 4037ee 806 +11: 807 -> 403b9b 807 +11: 808 -> 4040d9 808 +11: 809 -> 404cac 809 +11: 80a -> 405446 80a +11: 80b -> 405833 80b +11: 80c -> 406592 80c +11: 80d -> 4069e7 80d +11: 80e -> 40710d 80e +11: 80f -> 407d78 80f +11: 810 -> 408789 810 +11: 811 -> 408bfc 811 +11: 812 -> 409316 812 +11: 813 -> 409f63 813 +11: 814 -> 40a2c2 814 +11: 815 -> 40aeb7 815 +11: 816 -> 40b65d 816 +11: 817 -> 40ba28 817 +11: 818 -> 40c16a 818 +11: 819 -> 40cd1f 819 +11: 81a -> 40d5f5 81a +11: 81b -> 40d980 81b +11: 81c -> 40e421 81c +11: 81d -> 40e854 81d +11: 81e -> 40f0be 81e +11: 81f -> 40fccb 81f +11: 820 -> 41055c 820 +11: 821 -> 410929 821 +11: 822 -> 4111c3 822 +11: 823 -> 411db6 823 +11: 824 -> 412017 824 +11: 825 -> 412c62 825 +11: 826 -> 413488 826 +11: 827 -> 4138fd 827 +11: 828 -> 4143bf 828 +11: 829 -> 414fca 829 +11: 82a -> 415720 82a +11: 82b -> 415b55 82b +11: 82c -> 4166f4 82c +11: 82d -> 416a81 82d +11: 82e -> 41726b 82e +11: 82f -> 417e1e 82f +11: 830 -> 4184ef 830 +11: 831 -> 41889a 831 +11: 832 -> 419070 832 +11: 833 -> 419c05 833 +11: 834 -> 41a1a4 834 +11: 835 -> 41add1 835 +11: 836 -> 41b53b 836 +11: 837 -> 41b94e 837 +11: 838 -> 41c20c 838 +11: 839 -> 41ce79 839 +11: 83a -> 41d693 83a +11: 83b -> 41dae6 83b +11: 83c -> 41e747 83c +11: 83d -> 41eb32 83d +11: 83e -> 41f3d8 83e +11: 83f -> 41ffad 83f +11: 840 -> 4200f6 840 +11: 841 -> 420c83 841 +11: 842 -> 421469 842 +11: 843 -> 42181c 843 +11: 844 -> 4225bd 844 +11: 845 -> 4229c8 845 +11: 846 -> 423122 846 +11: 847 -> 423d57 847 +11: 848 -> 424615 848 +11: 849 -> 424a60 849 +11: 84a -> 42528a 84a +11: 84b -> 425eff 84b +11: 84c -> 42635e 84c +11: 84d -> 426f2b 84d +11: 84e -> 4277c1 84e +11: 84f -> 427bb4 84f +11: 850 -> 428145 850 +11: 851 -> 428d30 851 +11: 852 -> 4295da 852 +11: 853 -> 4299af 853 +11: 854 -> 42a40e 854 +11: 855 -> 42a87b 855 +11: 856 -> 42b091 856 +11: 857 -> 42bce4 857 +11: 858 -> 42c7a6 858 +11: 859 -> 42cbd3 859 +11: 85a -> 42d339 85a +11: 85b -> 42df4c 85b +11: 85c -> 42e2ed 85c +11: 85d -> 42ee98 85d +11: 85e -> 42f672 85e +11: 85f -> 42fa07 85f +11: 860 -> 430390 860 +11: 861 -> 430fe5 861 +11: 862 -> 43170f 862 +11: 863 -> 431b7a 863 +11: 864 -> 4326db 864 +11: 865 -> 432aae 865 +11: 866 -> 433244 866 +11: 867 -> 433e31 867 +11: 868 -> 434573 868 +11: 869 -> 434906 869 +11: 86a -> 4351ec 86a +11: 86b -> 435d99 86b +11: 86c -> 436038 86c +11: 86d -> 436c4d 86d +11: 86e -> 4374a7 86e +11: 86f -> 4378d2 86f +11: 870 -> 438223 870 +11: 871 -> 438e56 871 +11: 872 -> 4396bc 872 +11: 873 -> 439ac9 873 +11: 874 -> 43a768 874 +11: 875 -> 43ab1d 875 +11: 876 -> 43b3f7 876 +11: 877 -> 43bf82 877 +11: 878 -> 43c4c0 878 +11: 879 -> 43c8b5 879 +11: 87a -> 43d05f 87a +11: 87b -> 43dc2a 87b +11: 87c -> 43e18b 87c +11: 87d -> 43edfe 87d +11: 87e -> 43f514 87e +11: 87f -> 43f961 87f +11: 880 -> 4407d7 880 +11: 881 -> 440ba2 881 +11: 882 -> 441348 882 +11: 883 -> 441f3d 883 +11: 884 -> 44229c 884 +11: 885 -> 442ee9 885 +11: 886 -> 443603 886 +11: 887 -> 443a76 887 +11: 888 -> 444134 888 +11: 889 -> 444d41 889 +11: 88a -> 4455ab 88a +11: 88b -> 4459de 88b +11: 88c -> 44647f 88c +11: 88d -> 44680a 88d +11: 88e -> 4470e0 88e +11: 88f -> 447c95 88f +11: 890 -> 448664 890 +11: 891 -> 448a11 891 +11: 892 -> 4492fb 892 +11: 893 -> 449e8e 893 +11: 894 -> 44a32f 894 +11: 895 -> 44af5a 895 +11: 896 -> 44b7b0 896 +11: 897 -> 44bbc5 897 +11: 898 -> 44c087 898 +11: 899 -> 44ccf2 899 +11: 89a -> 44d418 89a +11: 89b -> 44d86d 89b +11: 89c -> 44e5cc 89c +11: 89d -> 44e9b9 89d +11: 89e -> 44f153 89e +11: 89f -> 44fd26 89f +11: 8a0 -> 4504b1 8a0 +11: 8a1 -> 4508c4 8a1 +11: 8a2 -> 45102e 8a2 +11: 8a3 -> 451c5b 8a3 +11: 8a4 -> 4521fa 8a4 +11: 8a5 -> 452d8f 8a5 +11: 8a6 -> 453565 8a6 +11: 8a7 -> 453910 8a7 +11: 8a8 -> 454252 8a8 +11: 8a9 -> 454e27 8a9 +11: 8aa -> 4556cd 8aa +11: 8ab -> 455ab8 8ab +11: 8ac -> 456719 8ac +11: 8ad -> 456b6c 8ad +11: 8ae -> 457386 8ae +11: 8af -> 457ff3 8af +11: 8b0 -> 458502 8b0 +11: 8b1 -> 458977 8b1 +11: 8b2 -> 45919d 8b2 +11: 8b3 -> 459de8 8b3 +11: 8b4 -> 45a049 8b4 +11: 8b5 -> 45ac3c 8b5 +11: 8b6 -> 45b4d6 8b6 +11: 8b7 -> 45b8a3 8b7 +11: 8b8 -> 45c3e1 8b8 +11: 8b9 -> 45cf94 8b9 +11: 8ba -> 45d77e 8ba +11: 8bb -> 45db0b 8bb +11: 8bc -> 45e6aa 8bc +11: 8bd -> 45eadf 8bd +11: 8be -> 45f235 8be +11: 8bf -> 45fe40 8bf +11: 8c0 -> 46011b 8c0 +11: 8c1 -> 460d6e 8c1 +11: 8c2 -> 461584 8c2 +11: 8c3 -> 4619f1 8c3 +11: 8c4 -> 462450 8c4 +11: 8c5 -> 462825 8c5 +11: 8c6 -> 4630cf 8c6 +11: 8c7 -> 463cba 8c7 +11: 8c8 -> 4647f8 8c8 +11: 8c9 -> 464b8d 8c9 +11: 8ca -> 465367 8ca +11: 8cb -> 465f12 8cb +11: 8cc -> 4662b3 8cc +11: 8cd -> 466ec6 8cd +11: 8ce -> 46762c 8ce +11: 8cf -> 467a59 8cf +11: 8d0 -> 4680a8 8d0 +11: 8d1 -> 468cdd 8d1 +11: 8d2 -> 469437 8d2 +11: 8d3 -> 469842 8d3 +11: 8d4 -> 46a5e3 8d4 +11: 8d5 -> 46a996 8d5 +11: 8d6 -> 46b17c 8d6 +11: 8d7 -> 46bd09 8d7 +11: 8d8 -> 46c64b 8d8 +11: 8d9 -> 46ca3e 8d9 +11: 8da -> 46d2d4 8da +11: 8db -> 46dea1 8db +11: 8dc -> 46e300 8dc +11: 8dd -> 46ef75 8dd +11: 8de -> 46f79f 8de +11: 8df -> 46fbea 8df +11: 8e0 -> 47027d 8e0 +11: 8e1 -> 470e08 8e1 +11: 8e2 -> 4716e2 8e2 11: 8e3 -> 471a97 8e3 11: 8e4 -> 472736 8e4 11: 8e5 -> 472b43 8e5 @@ -8102,15 +8856,482 @@ 11: 9c4 -> 4e278a 9c4 11: 9c5 -> 4e2bff 9c5 11: 9c6 -> 4e3315 9c6 -3: 1000000 loops tested OK - 10/155 Test #3: test_fifo ...................................... Passed 0.79 sec -test 13 - Start 13: test_ch_papr + 12/155 Test #15: test_FDMDV_modem_octave_ut .....................***Failed Required regular expression not found. Regex=[errors......: 0 +] 0.12 sec +/usr/bin/sh: line 3: octave-cli: command not found + +test 16 + Start 16: test_FDMDV_modem_octave_mod_demod + +16: Test command: /usr/bin/sh "-c" " + cd /build/reproducible-path/codec2-1.2.0/octave/; + echo "fdmdv_mod('test.raw',1400); fdmdv_demod('test.raw',1400); quit" | DISPLAY="" octave-cli" +16: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +16: Test timeout computed to be: 1500 +12: e38 -> 71c6a5 e38 +12: e39 -> 71cad0 e39 +12: e3a -> 71d23a e3a +12: e3b -> 71de4f e3b +12: e3c -> 71e3ee e3c +12: e3d -> 71ef9b e3d +12: e3e -> 71f771 e3e +12: e3f -> 71fb04 e3f +12: e40 -> 72045f e40 +12: e41 -> 72082a e41 +12: e42 -> 7210c0 e42 +12: e43 -> 721cb5 e43 +12: e44 -> 722114 e44 +12: e45 -> 722d61 e45 +12: e46 -> 72358b e46 +12: e47 -> 7239fe e47 +12: e48 -> 7242bc e48 +12: e49 -> 724ec9 e49 +12: e4a -> 725623 e4a +12: e4b -> 725a56 e4b +12: e4c -> 7267f7 e4c +12: e4d -> 726b82 e4d +12: e4e -> 727368 e4e +12: e4f -> 727f1d e4f +12: e50 -> 7285ec e50 +12: e51 -> 728999 e51 +12: e52 -> 729173 e52 +12: e53 -> 729d06 e53 +12: e54 -> 72a0a7 e54 +12: e55 -> 72acd2 e55 +12: e56 -> 72b438 e56 +12: e57 -> 72b84d e57 +12: e58 -> 72c30f e58 +12: e59 -> 72cf7a e59 +12: e5a -> 72d790 e5a +12: e5b -> 72dbe5 e5b +12: e5c -> 72e644 e5c +12: e5d -> 72ea31 e5d +12: e5e -> 72f2db e5e +12: e5f -> 72feae e5f +12: e60 -> 730739 e60 +12: e61 -> 730b4c e61 +12: e62 -> 7313a6 e62 +12: e63 -> 731fd3 e63 +12: e64 -> 732272 e64 +12: e65 -> 732e07 e65 +12: e66 -> 7336ed e66 +12: e67 -> 733a98 e67 +12: e68 -> 7341da e68 +12: e69 -> 734daf e69 +12: e6a -> 735545 e6a +12: e6b -> 735930 e6b +12: e6c -> 736491 e6c +12: e6d -> 7368e4 e6d +12: e6e -> 73700e e6e +12: e6f -> 737c7b e6f +12: e70 -> 73868a e70 +12: e71 -> 738aff e71 +12: e72 -> 739215 e72 +12: e73 -> 739e60 e73 +12: e74 -> 73a3c1 e74 +12: e75 -> 73afb4 e75 +12: e76 -> 73b75e e76 +12: e77 -> 73bb2b e77 +12: e78 -> 73c069 e78 +12: e79 -> 73cc1c e79 +12: e7a -> 73d4f6 e7a +12: e7b -> 73d883 e7b +12: e7c -> 73e522 e7c +12: e7d -> 73e957 e7d +12: e7e -> 73f1bd e7e +12: e7f -> 73fdc8 e7f +12: e80 -> 74037e e80 +12: e81 -> 740f0b e81 +12: e82 -> 7417e1 e82 +12: e83 -> 741b94 e83 +12: e84 -> 742635 e84 +12: e85 -> 742a40 e85 +12: e86 -> 7432aa e86 +12: e87 -> 743edf e87 +12: e88 -> 74459d e88 +12: e89 -> 7449e8 e89 +12: e8a -> 745102 e8a +12: e8b -> 745d77 e8b +12: e8c -> 7460d6 e8c +12: e8d -> 746ca3 e8d +12: e8e -> 747449 e8e +12: e8f -> 74783c e8f +12: e90 -> 7482cd e90 +12: e91 -> 748eb8 e91 +12: e92 -> 749652 e92 +12: e93 -> 749a27 e93 +12: e94 -> 74a786 e94 +12: e95 -> 74abf3 e95 +12: e96 -> 74b319 e96 +12: e97 -> 74bf6c e97 +12: e98 -> 74c42e e98 +12: e99 -> 74c85b e99 +12: e9a -> 74d0b1 e9a +12: e9b -> 74dcc4 e9b +12: e9c -> 74e165 e9c +12: e9d -> 74ed10 e9d +12: e9e -> 74f5fa e9e +12: e9f -> 74f98f e9f +12: ea0 -> 750018 ea0 +12: ea1 -> 750c6d ea1 +12: ea2 -> 751487 ea2 +12: ea3 -> 7518f2 ea3 +12: ea4 -> 752553 ea4 +12: ea5 -> 752926 ea5 +12: ea6 -> 7531cc ea6 +12: ea7 -> 753db9 ea7 +12: ea8 -> 7546fb ea8 +12: ea9 -> 754a8e ea9 +12: eaa -> 755264 eaa +12: eab -> 755e11 eab +12: eac -> 7563b0 eac +12: ead -> 756fc5 ead +12: eae -> 75772f eae +12: eaf -> 757b5a eaf +12: eb0 -> 7581ab eb0 +12: eb1 -> 758dde eb1 +12: eb2 -> 759534 eb2 +12: eb3 -> 759941 eb3 +12: eb4 -> 75a4e0 eb4 +12: eb5 -> 75a895 eb5 +12: eb6 -> 75b07f eb6 +12: eb7 -> 75bc0a eb7 +12: eb8 -> 75c748 eb8 +12: eb9 -> 75cb3d eb9 +12: eba -> 75d3d7 eba +12: ebb -> 75dfa2 ebb +12: ebc -> 75e203 ebc +12: ebd -> 75ee76 ebd +12: ebe -> 75f69c ebe +12: ebf -> 75fae9 ebf +12: ec0 -> 7605b2 ec0 +12: ec1 -> 7609c7 ec1 +12: ec2 -> 76112d ec2 +12: ec3 -> 761d58 ec3 +12: ec4 -> 7620f9 ec4 +12: ec5 -> 762c8c ec5 +12: ec6 -> 763466 ec6 +12: ec7 -> 763813 ec7 +12: ec8 -> 764351 ec8 +12: ec9 -> 764f24 ec9 +12: eca -> 7657ce eca +12: ecb -> 765bbb ecb +12: ecc -> 76661a ecc +12: ecd -> 766a6f ecd +12: ece -> 767285 ece +12: ecf -> 767ef0 ecf +12: ed0 -> 768401 ed0 +12: ed1 -> 768874 ed1 +12: ed2 -> 76909e ed2 +12: ed3 -> 769ceb ed3 +12: ed4 -> 76a14a ed4 +12: ed5 -> 76ad3f ed5 +12: ed6 -> 76b5d5 ed6 +12: ed7 -> 76b9a0 ed7 +12: ed8 -> 76c2e2 ed8 +12: ed9 -> 76ce97 ed9 +12: eda -> 76d67d eda +12: edb -> 76da08 edb +12: edc -> 76e7a9 edc +12: edd -> 76ebdc edd +12: ede -> 76f336 ede +12: edf -> 76ff43 edf +12: ee0 -> 7706d4 ee0 +12: ee1 -> 770aa1 ee1 +12: ee2 -> 77124b ee2 +12: ee3 -> 771e3e ee3 +12: ee4 -> 77239f ee4 +12: ee5 -> 772fea ee5 +12: ee6 -> 773700 ee6 +12: ee7 -> 773b75 ee7 +12: ee8 -> 774037 ee8 +12: ee9 -> 774c42 ee9 +12: eea -> 7754a8 eea +12: eeb -> 7758dd eeb +12: eec -> 77657c eec +12: eed -> 776909 eed +12: eee -> 7771e3 eee +12: eef -> 777d96 eef +12: ef0 -> 778767 ef0 +12: ef1 -> 778b12 ef1 +12: ef2 -> 7793f8 ef2 +12: ef3 -> 779f8d ef3 +12: ef4 -> 77a22c ef4 +12: ef5 -> 77ae59 ef5 +12: ef6 -> 77b6b3 ef6 +12: ef7 -> 77bac6 ef7 +12: ef8 -> 77c184 ef8 +12: ef9 -> 77cdf1 ef9 +12: efa -> 77d51b efa +12: efb -> 77d96e efb +12: efc -> 77e4cf efc +12: efd -> 77e8ba efd +12: efe -> 77f050 efe +12: eff -> 77fc25 eff +12: f00 -> 780149 f00 +12: f01 -> 780d3c f01 +12: f02 -> 7815d6 f02 +12: f03 -> 7819a3 f03 +12: f04 -> 782402 f04 +12: f05 -> 782877 f05 +12: f06 -> 78309d f06 +12: f07 -> 783ce8 f07 +12: f08 -> 7847aa f08 +12: f09 -> 784bdf f09 +12: f0a -> 785335 f0a +12: f0b -> 785f40 f0b +12: f0c -> 7862e1 f0c +12: f0d -> 786e94 f0d +12: f0e -> 78767e f0e +12: f0f -> 787a0b f0f +12: f10 -> 7880fa f10 +12: f11 -> 788c8f f11 +12: f12 -> 789465 f12 +12: f13 -> 789810 f13 +12: f14 -> 78a5b1 f14 +12: f15 -> 78a9c4 f15 +12: f16 -> 78b12e f16 +12: f17 -> 78bd5b f17 +12: f18 -> 78c619 f18 +12: f19 -> 78ca6c f19 +12: f1a -> 78d286 f1a +12: f1b -> 78def3 f1b +12: f1c -> 78e352 f1c +12: f1d -> 78ef27 f1d +12: f1e -> 78f7cd f1e +12: f1f -> 78fbb8 f1f +12: f20 -> 79022f f20 +12: f21 -> 790e5a f21 +12: f22 -> 7916b0 f22 +12: f23 -> 791ac5 f23 +12: f24 -> 792764 f24 +12: f25 -> 792b11 f25 +12: f26 -> 7933fb f26 +12: f27 -> 793f8e f27 +12: f28 -> 7944cc f28 +12: f29 -> 7948b9 f29 +12: f2a -> 795053 f2a +12: f2b -> 795c26 f2b +12: f2c -> 796187 f2c +12: f2d -> 796df2 f2d +12: f2e -> 797518 f2e +12: f2f -> 79796d f2f +12: f30 -> 79839c f30 +12: f31 -> 798fe9 f31 +12: f32 -> 799703 f32 +12: f33 -> 799b76 f33 +12: f34 -> 79a6d7 f34 +12: f35 -> 79aaa2 f35 +12: f36 -> 79b248 f36 +12: f37 -> 79be3d f37 +12: f38 -> 79c57f f38 +12: f39 -> 79c90a f39 +12: f3a -> 79d1e0 f3a +12: f3b -> 79dd95 f3b +12: f3c -> 79e034 f3c +12: f3d -> 79ec41 f3d +12: f3e -> 79f4ab f3e +12: f3f -> 79f8de f3f +12: f40 -> 7a0785 f40 +12: f41 -> 7a0bf0 f41 +12: f42 -> 7a131a f42 +12: f43 -> 7a1f6f f43 +12: f44 -> 7a22ce f44 +12: f45 -> 7a2ebb f45 +12: f46 -> 7a3651 f46 +12: f47 -> 7a3a24 f47 +12: f48 -> 7a4166 f48 +12: f49 -> 7a4d13 f49 +12: f4a -> 7a55f9 f4a +12: f4b -> 7a598c f4b +12: f4c -> 7a642d f4c +12: f4d -> 7a6858 f4d +12: f4e -> 7a70b2 f4e +12: f4f -> 7a7cc7 f4f +12: f50 -> 7a8636 f50 +12: f51 -> 7a8a43 f51 +12: f52 -> 7a92a9 f52 +12: f53 -> 7a9edc f53 +12: f54 -> 7aa37d f54 +12: f55 -> 7aaf08 f55 +12: f56 -> 7ab7e2 f56 +12: f57 -> 7abb97 f57 +12: f58 -> 7ac0d5 f58 +12: f59 -> 7acca0 f59 +12: f5a -> 7ad44a f5a +12: f5b -> 7ad83f f5b +12: f5c -> 7ae59e f5c +12: f5d -> 7ae9eb f5d +12: f5e -> 7af101 f5e +12: f5f -> 7afd74 f5f +12: f60 -> 7b04e3 f60 +12: f61 -> 7b0896 f61 +12: f62 -> 7b107c f62 +12: f63 -> 7b1c09 f63 +12: f64 -> 7b21a8 f64 +12: f65 -> 7b2ddd f65 +12: f66 -> 7b3537 f66 +12: f67 -> 7b3942 f67 +12: f68 -> 7b4200 f68 +12: f69 -> 7b4e75 f69 +12: f6a -> 7b569f f6a +12: f6b -> 7b5aea f6b +12: f6c -> 7b674b f6c +12: f6d -> 7b6b3e f6d +12: f6e -> 7b73d4 f6e +12: f6f -> 7b7fa1 f6f +12: f70 -> 7b8550 f70 +12: f71 -> 7b8925 f71 +12: f72 -> 7b91cf f72 +12: f73 -> 7b9dba f73 +12: f74 -> 7ba01b f74 +12: f75 -> 7bac6e f75 +12: f76 -> 7bb484 f76 +12: f77 -> 7bb8f1 f77 +12: f78 -> 7bc3b3 f78 +12: f79 -> 7bcfc6 f79 +12: f7a -> 7bd72c f7a +12: f7b -> 7bdb59 f7b +12: f7c -> 7be6f8 f7c +12: f7d -> 7bea8d f7d +12: f7e -> 7bf267 f7e +12: f7f -> 7bfe12 f7f +12: f80 -> 7c00a4 f80 +12: f81 -> 7c0cd1 f81 +12: f82 -> 7c143b f82 +12: f83 -> 7c184e f83 +12: f84 -> 7c25ef f84 +12: f85 -> 7c299a f85 +12: f86 -> 7c3170 f86 +12: f87 -> 7c3d05 f87 +12: f88 -> 7c4647 f88 +12: f89 -> 7c4a32 f89 +12: f8a -> 7c52d8 f8a +12: f8b -> 7c5ead f8b +12: f8c -> 7c630c f8c +12: f8d -> 7c6f79 f8d +12: f8e -> 7c7793 f8e +12: f8f -> 7c7be6 f8f +12: f90 -> 7c8117 f90 +12: f91 -> 7c8d62 f91 +12: f92 -> 7c9588 f92 +12: f93 -> 7c99fd f93 +12: f94 -> 7ca45c f94 +12: f95 -> 7ca829 f95 +12: f96 -> 7cb0c3 f96 +12: f97 -> 7cbcb6 f97 +12: f98 -> 7cc7f4 f98 +12: f99 -> 7ccb81 f99 +12: f9a -> 7cd36b f9a +12: f9b -> 7cdf1e f9b +12: f9c -> 7ce2bf f9c +12: f9d -> 7ceeca f9d +12: f9e -> 7cf620 f9e +12: f9f -> 7cfa55 f9f +12: fa0 -> 7d03c2 fa0 +12: fa1 -> 7d0fb7 fa1 +12: fa2 -> 7d175d fa2 +12: fa3 -> 7d1b28 fa3 +12: fa4 -> 7d2689 fa4 +12: fa5 -> 7d2afc fa5 +12: fa6 -> 7d3216 fa6 +12: fa7 -> 7d3e63 fa7 +12: fa8 -> 7d4521 fa8 +12: fa9 -> 7d4954 fa9 +12: faa -> 7d51be faa +12: fab -> 7d5dcb fab +12: fac -> 7d606a fac +12: fad -> 7d6c1f fad +12: fae -> 7d74f5 fae +12: faf -> 7d7880 faf +12: fb0 -> 7d8271 fb0 +12: fb1 -> 7d8e04 fb1 +12: fb2 -> 7d96ee fb2 +12: fb3 -> 7d9a9b fb3 +12: fb4 -> 7da73a fb4 +12: fb5 -> 7dab4f fb5 +12: fb6 -> 7db3a5 fb6 +12: fb7 -> 7dbfd0 fb7 +12: fb8 -> 7dc492 fb8 +12: fb9 -> 7dc8e7 fb9 +12: fba -> 7dd00d fba +12: fbb -> 7ddc78 fbb +12: fbc -> 7de1d9 fbc +12: fbd -> 7dedac fbd +12: fbe -> 7df546 fbe +12: fbf -> 7df933 fbf +12: fc0 -> 7e0668 fc0 +12: fc1 -> 7e0a1d fc1 +12: fc2 -> 7e12f7 fc2 +12: fc3 -> 7e1e82 fc3 +12: fc4 -> 7e2323 fc4 +12: fc5 -> 7e2f56 fc5 +12: fc6 -> 7e37bc fc6 +12: fc7 -> 7e3bc9 fc7 +12: fc8 -> 7e408b fc8 +12: fc9 -> 7e4cfe fc9 +12: fca -> 7e5414 fca +12: fcb -> 7e5861 fcb +12: fcc -> 7e65c0 fcc +12: fcd -> 7e69b5 fcd +12: fce -> 7e715f fce +12: fcf -> 7e7d2a fcf +12: fd0 -> 7e87db fd0 +12: fd1 -> 7e8bae fd1 +12: fd2 -> 7e9344 fd2 +12: fd3 -> 7e9f31 fd3 +12: fd4 -> 7ea290 fd4 +12: fd5 -> 7eaee5 fd5 +12: fd6 -> 7eb60f fd6 +12: fd7 -> 7eba7a fd7 +12: fd8 -> 7ec138 fd8 +12: fd9 -> 7ecd4d fd9 +12: fda -> 7ed5a7 fda +12: fdb -> 7ed9d2 fdb +12: fdc -> 7ee473 fdc +12: fdd -> 7ee806 fdd +12: fde -> 7ef0ec fde +12: fdf -> 7efc99 fdf +12: fe0 -> 7f050e fe0 +12: fe1 -> 7f097b fe1 +12: fe2 -> 7f1191 fe2 +12: fe3 -> 7f1de4 fe3 +12: fe4 -> 7f2045 fe4 +12: fe5 -> 7f2c30 fe5 +12: fe6 -> 7f34da fe6 +12: fe7 -> 7f38af fe7 +12: fe8 -> 7f43ed fe8 +12: fe9 -> 7f4f98 fe9 +12: fea -> 7f5772 fea +12: feb -> 7f5b07 feb +12: fec -> 7f66a6 fec +12: fed -> 7f6ad3 fed +12: fee -> 7f7239 fee +12: fef -> 7f7e4c fef +12: ff0 -> 7f84bd ff0 +12: ff1 -> 7f88c8 ff1 +12: ff2 -> 7f9022 ff2 +12: ff3 -> 7f9c57 ff3 +12: ff4 -> 7fa1f6 ff4 +12: ff5 -> 7fad83 ff5 +12: ff6 -> 7fb569 ff6 +12: ff7 -> 7fb91c ff7 +12: ff8 -> 7fc25e ff8 +12: ff9 -> 7fce2b ff9 +12: ffa -> 7fd6c1 ffa +12: ffb -> 7fdab4 ffb +12: ffc -> 7fe715 ffc +12: ffd -> 7feb60 ffd +12: ffe -> 7ff38a ffe +12: fff -> 7fffff fff +12: Everything checks out + 13/155 Test #12: test_golay23_runtime_tables .................... Passed 0.47 sec +test 17 + Start 17: test_FDMDV_modem_octave_port -13: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build; - ./unittest/mksine - 1000 10 | ./src/ch - /dev/null --ctest" -13: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -13: Test timeout computed to be: 1500 +17: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/tfdmdv && DISPLAY="" octave-cli --no-gui -qf /build/reproducible-path/codec2-1.2.0/octave/tfdmdv.m" +17: Working Directory: /build/reproducible-path/codec2-1.2.0/octave +17: Test timeout computed to be: 1500 11: 9c7 -> 4e3f60 9c7 11: 9c8 -> 4e4422 9c8 11: 9c9 -> 4e4857 9c9 @@ -8566,234 +9787,22 @@ 11: b8b -> 5c5db0 b8b 11: b8c -> 5c6011 b8c 11: b8d -> 5c6c64 b8d -13: ch: Fs: 8000 NodB: -100.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 -12: b8e -> 5c748e b8e -12: b8f -> 5c78fb b8f -12: b90 -> 5c820a b90 -12: b91 -> 5c8e7f b91 -12: b92 -> 5c9695 b92 -12: b93 -> 5c9ae0 b93 -12: b94 -> 5ca741 b94 -12: b95 -> 5cab34 b95 -12: b96 -> 5cb3de b96 -12: b97 -> 5cbfab b97 -12: b98 -> 5cc4e9 b98 -12: b99 -> 5cc89c b99 -12: b9a -> 5cd076 b9a -12: b9b -> 5cdc03 b9b -12: b9c -> 5ce1a2 b9c -12: b9d -> 5cedd7 b9d -12: b9e -> 5cf53d b9e -12: b9f -> 5cf948 b9f -12: ba0 -> 5d00df ba0 -12: ba1 -> 5d0caa ba1 -12: ba2 -> 5d1440 ba2 -12: ba3 -> 5d1835 ba3 -12: ba4 -> 5d2594 ba4 -12: ba5 -> 5d29e1 ba5 -12: ba6 -> 5d310b ba6 -12: ba7 -> 5d3d7e ba7 -12: ba8 -> 5d463c ba8 -12: ba9 -> 5d4a49 ba9 -12: baa -> 5d52a3 baa -12: bab -> 5d5ed6 bab -12: bac -> 5d6377 bac -12: bad -> 5d6f02 bad -12: bae -> 5d77e8 bae -12: baf -> 5d7b9d baf -12: bb0 -> 5d816c bb0 -12: bb1 -> 5d8d19 bb1 -12: bb2 -> 5d95f3 bb2 -12: bb3 -> 5d9986 bb3 -12: bb4 -> 5da427 bb4 -12: bb5 -> 5da852 bb5 -12: bb6 -> 5db0b8 bb6 -12: bb7 -> 5dbccd bb7 -12: bb8 -> 5dc78f bb8 -12: bb9 -> 5dcbfa bb9 -12: bba -> 5dd310 bba -12: bbb -> 5ddf65 bbb -12: bbc -> 5de2c4 bbc -12: bbd -> 5deeb1 bbd -12: bbe -> 5df65b bbe -12: bbf -> 5dfa2e bbf -12: bc0 -> 5e0575 bc0 -12: bc1 -> 5e0900 bc1 -12: bc2 -> 5e11ea bc2 -12: bc3 -> 5e1d9f bc3 -12: bc4 -> 5e203e bc4 -12: bc5 -> 5e2c4b bc5 -12: bc6 -> 5e34a1 bc6 -12: bc7 -> 5e38d4 bc7 -12: bc8 -> 5e4396 bc8 -12: bc9 -> 5e4fe3 bc9 -12: bca -> 5e5709 bca -12: bcb -> 5e5b7c bcb -12: bcc -> 5e66dd bcc -12: bcd -> 5e6aa8 bcd -12: bce -> 5e7242 bce -12: bcf -> 5e7e37 bcf -12: bd0 -> 5e84c6 bd0 -12: bd1 -> 5e88b3 bd1 -12: bd2 -> 5e9059 bd2 -12: bd3 -> 5e9c2c bd3 -12: bd4 -> 5ea18d bd4 -12: bd5 -> 5eadf8 bd5 -12: bd6 -> 5eb512 bd6 -12: bd7 -> 5eb967 bd7 -12: bd8 -> 5ec225 bd8 -12: bd9 -> 5ece50 bd9 -12: bda -> 5ed6ba bda -12: bdb -> 5edacf bdb -12: bdc -> 5ee76e bdc -12: bdd -> 5eeb1b bdd -12: bde -> 5ef3f1 bde -12: bdf -> 5eff84 bdf -12: be0 -> 5f0613 be0 -12: be1 -> 5f0a66 be1 -12: be2 -> 5f128c be2 -12: be3 -> 5f1ef9 be3 -12: be4 -> 5f2358 be4 -12: be5 -> 5f2f2d be5 -12: be6 -> 5f37c7 be6 -12: be7 -> 5f3bb2 be7 -12: be8 -> 5f40f0 be8 -12: be9 -> 5f4c85 be9 -12: bea -> 5f546f bea -12: beb -> 5f581a beb -12: bec -> 5f65bb bec -12: bed -> 5f69ce bed -12: bee -> 5f7124 bee -12: bef -> 5f7d51 bef -12: bf0 -> 5f87a0 bf0 -12: bf1 -> 5f8bd5 bf1 -12: bf2 -> 5f933f bf2 -12: bf3 -> 5f9f4a bf3 -12: bf4 -> 5fa2eb bf4 -12: bf5 -> 5fae9e bf5 -12: bf6 -> 5fb674 bf6 -12: bf7 -> 5fba01 bf7 -12: bf8 -> 5fc143 bf8 -12: bf9 -> 5fcd36 bf9 -12: bfa -> 5fd5dc bfa -12: bfb -> 5fd9a9 bfb -12: bfc -> 5fe408 bfc -12: bfd -> 5fe87d bfd -12: bfe -> 5ff097 bfe -12: bff -> 5ffce2 bff -12: c00 -> 600527 c00 -12: c01 -> 600952 c01 -12: c02 -> 6011b8 c02 -12: c03 -> 601dcd c03 -12: c04 -> 60206c c04 -12: c05 -> 602c19 c05 -12: c06 -> 6034f3 c06 -12: c07 -> 603886 c07 -12: c08 -> 6043c4 c08 -12: c09 -> 604fb1 c09 -12: c0a -> 60575b c0a -12: c0b -> 605b2e c0b -12: c0c -> 60668f c0c -12: c0d -> 606afa c0d -12: c0e -> 607210 c0e -12: c0f -> 607e65 c0f -12: c10 -> 608494 c10 -12: c11 -> 6088e1 c11 -12: c12 -> 60900b c12 -12: c13 -> 609c7e c13 -12: c14 -> 60a1df c14 -12: c15 -> 60adaa c15 -12: c16 -> 60b540 c16 -12: c17 -> 60b935 c17 -12: c18 -> 60c277 c18 -12: c19 -> 60ce02 c19 -12: c1a -> 60d6e8 c1a -12: c1b -> 60da9d c1b -12: c1c -> 60e73c c1c -12: c1d -> 60eb49 c1d -12: c1e -> 60f3a3 c1e -12: c1f -> 60ffd6 c1f -12: c20 -> 610641 c20 -12: c21 -> 610a34 c21 -12: c22 -> 6112de c22 -12: c23 -> 611eab c23 -12: c24 -> 61230a c24 -12: c25 -> 612f7f c25 -12: c26 -> 613795 c26 -12: c27 -> 613be0 c27 -12: c28 -> 6140a2 c28 -12: c29 -> 614cd7 c29 -12: c2a -> 61543d c2a -12: c2b -> 615848 c2b -12: c2c -> 6165e9 c2c -12: c2d -> 61699c c2d -12: c2e -> 617176 c2e -12: c2f -> 617d03 c2f -12: c30 -> 6187f2 c30 -12: c31 -> 618b87 c31 -12: c32 -> 61936d c32 -12: c33 -> 619f18 c33 -12: c34 -> 61a2b9 c34 -12: c35 -> 61aecc c35 -12: c36 -> 61b626 c36 -12: c37 -> 61ba53 c37 -12: c38 -> 61c111 c38 -12: c39 -> 61cd64 c39 -12: c3a -> 61d58e c3a -12: c3b -> 61d9fb c3b -12: c3c -> 61e45a c3c -12: c3d -> 61e82f c3d -12: c3e -> 61f0c5 c3e -12: c3f -> 61fcb0 c3f -12: c40 -> 6203eb c40 -12: c41 -> 620f9e c41 -12: c42 -> 621774 c42 -12: c43 -> 621b01 c43 -12: c44 -> 6226a0 c44 -12: c45 -> 622ad5 c45 -12: c46 -> 62323f c46 -12: c47 -> 623e4a c47 -12: c48 -> 624508 c48 -12: c49 -> 62497d c49 -12: c4a -> 625197 c4a -12: c4b -> 625de2 c4b -12: c4c -> 626043 c4c -12: c4d -> 626c36 c4d -12: c4e -> 6274dc c4e -12: c4f -> 6278a9 c4f -12: c50 -> 628258 c50 -12: c51 -> 628e2d c51 -12: c52 -> 6296c7 c52 -12: c53 -> 629ab2 c53 -12: c54 -> 62a713 c54 -12: c55 -> 62ab66 c55 -12: c56 -> 62b38c c56 -12: c57 -> 62bff9 c57 -12: c58 -> 62c4bb c58 -12: c59 -> 62c8ce c59 -12: c5a -> 62d024 c5a -12: c5b -> 62dc51 c5b -12: c5c -> 62e1f0 c5c -12: c5d -> 62ed85 c5d -12: c5e -> 62f56f c5e -12: c5f -> 62f91a c5f -12: c60 -> 63008d c60 -12: c61 -> 630cf8 c61 -12: c62 -> 631412 c62 -12: c63 -> 631867 c63 -12: c64 -> 6325c6 c64 -12: c65 -> 6329b3 c65 -12: c66 -> 633159 c66 -12: c67 -> 633d2c c67 -12: c68 -> 63466e c68 -12: c69 -> 634a1b c69 -12: c6a -> 6352f1 c6a -12: c6b -> 635e84 c6b -12: c6c -> 636325 c6c -12: c6d -> 636f50 c6d -12: c6e -> 6377ba c6e -12: c6f -> 637bcf c6f -12: c70 -> 63813e c70 +16: /usr/bin/sh: line 3: octave-cli: command not found + 14/155 Test #16: test_FDMDV_modem_octave_mod_demod ..............***Failed Required regular expression not found. Regex=[0 errors +] 0.08 sec +/usr/bin/sh: line 3: octave-cli: command not found + +test 18 + Start 18: test_FDMDV_modem_octave_c + +18: Test command: /usr/bin/sh "-c" " + cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./fdmdv_get_test_bits - 14000 | ./fdmdv_mod - - | + ./fdmdv_demod - - 14 demod_dump.txt | ./fdmdv_put_test_bits - ; + cd /build/reproducible-path/codec2-1.2.0/octave/; + DISPLAY="" octave-cli -qf fdmdv_ut.m" +18: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +18: Test timeout computed to be: 1500 11: b8e -> 5c748e b8e 11: b8f -> 5c78fb b8f 11: b90 -> 5c820a b90 @@ -9249,234 +10258,6 @@ 11: d52 -> 6a951d d52 11: d53 -> 6a9968 d53 11: d54 -> 6aa4c9 d54 -12: c71 -> 638d4b c71 -12: c72 -> 6395a1 c72 -12: c73 -> 6399d4 c73 -12: c74 -> 63a475 c74 -12: c75 -> 63a800 c75 -12: c76 -> 63b0ea c76 -12: c77 -> 63bc9f c77 -12: c78 -> 63c7dd c78 -12: c79 -> 63cba8 c79 -12: c7a -> 63d342 c7a -12: c7b -> 63df37 c7b -12: c7c -> 63e296 c7c -12: c7d -> 63eee3 c7d -12: c7e -> 63f609 c7e -12: c7f -> 63fa7c c7f -12: c80 -> 6404ca c80 -12: c81 -> 6408bf c81 -12: c82 -> 641055 c82 -12: c83 -> 641c20 c83 -12: c84 -> 642181 c84 -12: c85 -> 642df4 c85 -12: c86 -> 64351e c86 -12: c87 -> 64396b c87 -12: c88 -> 644229 c88 -12: c89 -> 644e5c c89 -12: c8a -> 6456b6 c8a -12: c8b -> 645ac3 c8b -12: c8c -> 646762 c8c -12: c8d -> 646b17 c8d -12: c8e -> 6473fd c8e -12: c8f -> 647f88 c8f -12: c90 -> 648579 c90 -12: c91 -> 64890c c91 -12: c92 -> 6491e6 c92 -12: c93 -> 649d93 c93 -12: c94 -> 64a032 c94 -12: c95 -> 64ac47 c95 -12: c96 -> 64b4ad c96 -12: c97 -> 64b8d8 c97 -12: c98 -> 64c39a c98 -12: c99 -> 64cfef c99 -12: c9a -> 64d705 c9a -12: c9b -> 64db70 c9b -12: c9c -> 64e6d1 c9c -12: c9d -> 64eaa4 c9d -12: c9e -> 64f24e c9e -12: c9f -> 64fe3b c9f -12: ca0 -> 6507ac ca0 -12: ca1 -> 650bd9 ca1 -12: ca2 -> 651333 ca2 -12: ca3 -> 651f46 ca3 -12: ca4 -> 6522e7 ca4 -12: ca5 -> 652e92 ca5 -12: ca6 -> 653678 ca6 -12: ca7 -> 653a0d ca7 -12: ca8 -> 65414f ca8 -12: ca9 -> 654d3a ca9 -12: caa -> 6555d0 caa -12: cab -> 6559a5 cab -12: cac -> 656404 cac -12: cad -> 656871 cad -12: cae -> 65709b cae -12: caf -> 657cee caf -12: cb0 -> 65861f cb0 -12: cb1 -> 658a6a cb1 -12: cb2 -> 659280 cb2 -12: cb3 -> 659ef5 cb3 -12: cb4 -> 65a354 cb4 -12: cb5 -> 65af21 cb5 -12: cb6 -> 65b7cb cb6 -12: cb7 -> 65bbbe cb7 -12: cb8 -> 65c0fc cb8 -12: cb9 -> 65cc89 cb9 -12: cba -> 65d463 cba -12: cbb -> 65d816 cbb -12: cbc -> 65e5b7 cbc -12: cbd -> 65e9c2 cbd -12: cbe -> 65f128 cbe -12: cbf -> 65fd5d cbf -12: cc0 -> 660206 cc0 -12: cc1 -> 660e73 cc1 -12: cc2 -> 661699 cc2 -12: cc3 -> 661aec cc3 -12: cc4 -> 66274d cc4 -12: cc5 -> 662b38 cc5 -12: cc6 -> 6633d2 cc6 -12: cc7 -> 663fa7 cc7 -12: cc8 -> 6644e5 cc8 -12: cc9 -> 664890 cc9 -12: cca -> 66507a cca -12: ccb -> 665c0f ccb -12: ccc -> 6661ae ccc -12: ccd -> 666ddb ccd -12: cce -> 667531 cce -12: ccf -> 667944 ccf -12: cd0 -> 6683b5 cd0 -12: cd1 -> 668fc0 cd1 -12: cd2 -> 66972a cd2 -12: cd3 -> 669b5f cd3 -12: cd4 -> 66a6fe cd4 -12: cd5 -> 66aa8b cd5 -12: cd6 -> 66b261 cd6 -12: cd7 -> 66be14 cd7 -12: cd8 -> 66c556 cd8 -12: cd9 -> 66c923 cd9 -12: cda -> 66d1c9 cda -12: cdb -> 66ddbc cdb -12: cdc -> 66e01d cdc -12: cdd -> 66ec68 cdd -12: cde -> 66f482 cde -12: cdf -> 66f8f7 cdf -12: ce0 -> 670160 ce0 -12: ce1 -> 670d15 ce1 -12: ce2 -> 6715ff ce2 -12: ce3 -> 67198a ce3 -12: ce4 -> 67242b ce4 -12: ce5 -> 67285e ce5 -12: ce6 -> 6730b4 ce6 -12: ce7 -> 673cc1 ce7 -12: ce8 -> 674783 ce8 -12: ce9 -> 674bf6 ce9 -12: cea -> 67531c cea -12: ceb -> 675f69 ceb -12: cec -> 6762c8 cec -12: ced -> 676ebd ced -12: cee -> 677657 cee -12: cef -> 677a22 cef -12: cf0 -> 6780d3 cf0 -12: cf1 -> 678ca6 cf1 -12: cf2 -> 67944c cf2 -12: cf3 -> 679839 cf3 -12: cf4 -> 67a598 cf4 -12: cf5 -> 67a9ed cf5 -12: cf6 -> 67b107 cf6 -12: cf7 -> 67bd72 cf7 -12: cf8 -> 67c630 cf8 -12: cf9 -> 67ca45 cf9 -12: cfa -> 67d2af cfa -12: cfb -> 67deda cfb -12: cfc -> 67e37b cfc -12: cfd -> 67ef0e cfd -12: cfe -> 67f7e4 cfe -12: cff -> 67fb91 cff -12: d00 -> 6806fd d00 -12: d01 -> 680a88 d01 -12: d02 -> 681262 d02 -12: d03 -> 681e17 d03 -12: d04 -> 6823b6 d04 -12: d05 -> 682fc3 d05 -12: d06 -> 683729 d06 -12: d07 -> 683b5c d07 -12: d08 -> 68401e d08 -12: d09 -> 684c6b d09 -12: d0a -> 685481 d0a -12: d0b -> 6858f4 d0b -12: d0c -> 686555 d0c -12: d0d -> 686920 d0d -12: d0e -> 6871ca d0e -12: d0f -> 687dbf d0f -12: d10 -> 68874e d10 -12: d11 -> 688b3b d11 -12: d12 -> 6893d1 d12 -12: d13 -> 689fa4 d13 -12: d14 -> 68a205 d14 -12: d15 -> 68ae70 d15 -12: d16 -> 68b69a d16 -12: d17 -> 68baef d17 -12: d18 -> 68c1ad d18 -12: d19 -> 68cdd8 d19 -12: d1a -> 68d532 d1a -12: d1b -> 68d947 d1b -12: d1c -> 68e4e6 d1c -12: d1d -> 68e893 d1d -12: d1e -> 68f079 d1e -12: d1f -> 68fc0c d1f -12: d20 -> 69059b d20 -12: d21 -> 6909ee d21 -12: d22 -> 691104 d22 -12: d23 -> 691d71 d23 -12: d24 -> 6920d0 d24 -12: d25 -> 692ca5 d25 -12: d26 -> 69344f d26 -12: d27 -> 69383a d27 -12: d28 -> 694378 d28 -12: d29 -> 694f0d d29 -12: d2a -> 6957e7 d2a -12: d2b -> 695b92 d2b -12: d2c -> 696633 d2c -12: d2d -> 696a46 d2d -12: d2e -> 6972ac d2e -12: d2f -> 697ed9 d2f -12: d30 -> 698428 d30 -12: d31 -> 69885d d31 -12: d32 -> 6990b7 d32 -12: d33 -> 699cc2 d33 -12: d34 -> 69a163 d34 -12: d35 -> 69ad16 d35 -12: d36 -> 69b5fc d36 -12: d37 -> 69b989 d37 -12: d38 -> 69c2cb d38 -12: d39 -> 69cebe d39 -12: d3a -> 69d654 d3a -12: d3b -> 69da21 d3b -12: d3c -> 69e780 d3c -12: d3d -> 69ebf5 d3d -12: d3e -> 69f31f d3e -12: d3f -> 69ff6a d3f -12: d40 -> 6a0031 d40 -12: d41 -> 6a0c44 d41 -12: d42 -> 6a14ae d42 -12: d43 -> 6a18db d43 -12: d44 -> 6a257a d44 -12: d45 -> 6a290f d45 -12: d46 -> 6a31e5 d46 -12: d47 -> 6a3d90 d47 -12: d48 -> 6a46d2 d48 -12: d49 -> 6a4aa7 d49 -12: d4a -> 6a524d d4a -12: d4b -> 6a5e38 d4b -12: d4c -> 6a6399 d4c -12: d4d -> 6a6fec d4d -12: d4e -> 6a7706 d4e -12: d4f -> 6a7b73 d4f -12: d50 -> 6a8182 d50 -12: d51 -> 6a8df7 d51 -12: d52 -> 6a951d d52 -12: d53 -> 6a9968 d53 -12: d54 -> 6aa4c9 d54 11: d55 -> 6aa8bc d55 11: d56 -> 6ab056 d56 11: d57 -> 6abc23 d57 @@ -9704,233 +10485,23 @@ 11: e35 -> 71a978 e35 11: e36 -> 71b192 e36 11: e37 -> 71bde7 e37 -12: d55 -> 6aa8bc d55 -12: d56 -> 6ab056 d56 -12: d57 -> 6abc23 d57 -12: d58 -> 6ac761 d58 -12: d59 -> 6acb14 d59 -12: d5a -> 6ad3fe d5a -12: d5b -> 6adf8b d5b -12: d5c -> 6ae22a d5c -12: d5d -> 6aee5f d5d -12: d5e -> 6af6b5 d5e -12: d5f -> 6afac0 d5f -12: d60 -> 6b0357 d60 -12: d61 -> 6b0f22 d61 -12: d62 -> 6b17c8 d62 -12: d63 -> 6b1bbd d63 -12: d64 -> 6b261c d64 -12: d65 -> 6b2a69 d65 -12: d66 -> 6b3283 d66 -12: d67 -> 6b3ef6 d67 -12: d68 -> 6b45b4 d68 -12: d69 -> 6b49c1 d69 -12: d6a -> 6b512b d6a -12: d6b -> 6b5d5e d6b -12: d6c -> 6b60ff d6c -12: d6d -> 6b6c8a d6d -12: d6e -> 6b7460 d6e -12: d6f -> 6b7815 d6f -12: d70 -> 6b82e4 d70 -12: d71 -> 6b8e91 d71 -12: d72 -> 6b967b d72 -12: d73 -> 6b9a0e d73 -12: d74 -> 6ba7af d74 -12: d75 -> 6babda d75 -12: d76 -> 6bb330 d76 -12: d77 -> 6bbf45 d77 -12: d78 -> 6bc407 d78 -12: d79 -> 6bc872 d79 -12: d7a -> 6bd098 d7a -12: d7b -> 6bdced d7b -12: d7c -> 6be14c d7c -12: d7d -> 6bed39 d7d -12: d7e -> 6bf5d3 d7e -12: d7f -> 6bf9a6 d7f -12: d80 -> 6c0710 d80 -12: d81 -> 6c0b65 d81 -12: d82 -> 6c138f d82 -12: d83 -> 6c1ffa d83 -12: d84 -> 6c225b d84 -12: d85 -> 6c2e2e d85 -12: d86 -> 6c36c4 d86 -12: d87 -> 6c3ab1 d87 -12: d88 -> 6c41f3 d88 -12: d89 -> 6c4d86 d89 -12: d8a -> 6c556c d8a -12: d8b -> 6c5919 d8b -12: d8c -> 6c64b8 d8c -12: d8d -> 6c68cd d8d -12: d8e -> 6c7027 d8e -12: d8f -> 6c7c52 d8f -12: d90 -> 6c86a3 d90 -12: d91 -> 6c8ad6 d91 -12: d92 -> 6c923c d92 -12: d93 -> 6c9e49 d93 -12: d94 -> 6ca3e8 d94 -12: d95 -> 6caf9d d95 -12: d96 -> 6cb777 d96 -12: d97 -> 6cbb02 d97 -12: d98 -> 6cc040 d98 -12: d99 -> 6ccc35 d99 -12: d9a -> 6cd4df d9a -12: d9b -> 6cd8aa d9b -12: d9c -> 6ce50b d9c -12: d9d -> 6ce97e d9d -12: d9e -> 6cf194 d9e -12: d9f -> 6cfde1 d9f -12: da0 -> 6d0476 da0 -12: da1 -> 6d0803 da1 -12: da2 -> 6d10e9 da2 -12: da3 -> 6d1c9c da3 -12: da4 -> 6d213d da4 -12: da5 -> 6d2d48 da5 -12: da6 -> 6d35a2 da6 -12: da7 -> 6d39d7 da7 -12: da8 -> 6d4295 da8 -12: da9 -> 6d4ee0 da9 -12: daa -> 6d560a daa -12: dab -> 6d5a7f dab -12: dac -> 6d67de dac -12: dad -> 6d6bab dad -12: dae -> 6d7341 dae -12: daf -> 6d7f34 daf -12: db0 -> 6d85c5 db0 -12: db1 -> 6d89b0 db1 -12: db2 -> 6d915a db2 -12: db3 -> 6d9d2f db3 -12: db4 -> 6da08e db4 -12: db5 -> 6dacfb db5 -12: db6 -> 6db411 db6 -12: db7 -> 6db864 db7 -12: db8 -> 6dc326 db8 -12: db9 -> 6dcf53 db9 -12: dba -> 6dd7b9 dba -12: dbb -> 6ddbcc dbb -12: dbc -> 6de66d dbc -12: dbd -> 6dea18 dbd -12: dbe -> 6df2f2 dbe -12: dbf -> 6dfe87 dbf -12: dc0 -> 6e01dc dc0 -12: dc1 -> 6e0da9 dc1 -12: dc2 -> 6e1543 dc2 -12: dc3 -> 6e1936 dc3 -12: dc4 -> 6e2497 dc4 -12: dc5 -> 6e28e2 dc5 -12: dc6 -> 6e3008 dc6 -12: dc7 -> 6e3c7d dc7 -12: dc8 -> 6e473f dc8 -12: dc9 -> 6e4b4a dc9 -12: dca -> 6e53a0 dca -12: dcb -> 6e5fd5 dcb -12: dcc -> 6e6274 dcc -12: dcd -> 6e6e01 dcd -12: dce -> 6e76eb dce -12: dcf -> 6e7a9e dcf -12: dd0 -> 6e806f dd0 -12: dd1 -> 6e8c1a dd1 -12: dd2 -> 6e94f0 dd2 -12: dd3 -> 6e9885 dd3 -12: dd4 -> 6ea524 dd4 -12: dd5 -> 6ea951 dd5 -12: dd6 -> 6eb1bb dd6 -12: dd7 -> 6ebdce dd7 -12: dd8 -> 6ec68c dd8 -12: dd9 -> 6ecaf9 dd9 -12: dda -> 6ed213 dda -12: ddb -> 6ede66 ddb -12: ddc -> 6ee3c7 ddc -12: ddd -> 6eefb2 ddd -12: dde -> 6ef758 dde -12: ddf -> 6efb2d ddf -12: de0 -> 6f02ba de0 -12: de1 -> 6f0ecf de1 -12: de2 -> 6f1625 de2 -12: de3 -> 6f1a50 de3 -12: de4 -> 6f27f1 de4 -12: de5 -> 6f2b84 de5 -12: de6 -> 6f336e de6 -12: de7 -> 6f3f1b de7 -12: de8 -> 6f4459 de8 -12: de9 -> 6f482c de9 -12: dea -> 6f50c6 dea -12: deb -> 6f5cb3 deb -12: dec -> 6f6112 dec -12: ded -> 6f6d67 ded -12: dee -> 6f758d dee -12: def -> 6f79f8 def -12: df0 -> 6f8309 df0 -12: df1 -> 6f8f7c df1 -12: df2 -> 6f9796 df2 -12: df3 -> 6f9be3 df3 -12: df4 -> 6fa642 df4 -12: df5 -> 6faa37 df5 -12: df6 -> 6fb2dd df6 -12: df7 -> 6fbea8 df7 -12: df8 -> 6fc5ea df8 -12: df9 -> 6fc99f df9 -12: dfa -> 6fd175 dfa -12: dfb -> 6fdd00 dfb -12: dfc -> 6fe0a1 dfc -12: dfd -> 6fecd4 dfd -12: dfe -> 6ff43e dfe -12: dff -> 6ff84b dff -12: e00 -> 700293 e00 -12: e01 -> 700ee6 e01 -12: e02 -> 70160c e02 -12: e03 -> 701a79 e03 -12: e04 -> 7027d8 e04 -12: e05 -> 702bad e05 -12: e06 -> 703347 e06 -12: e07 -> 703f32 e07 -12: e08 -> 704470 e08 -12: e09 -> 704805 e09 -12: e0a -> 7050ef e0a -12: e0b -> 705c9a e0b -12: e0c -> 70613b e0c -12: e0d -> 706d4e e0d -12: e0e -> 7075a4 e0e -12: e0f -> 7079d1 e0f -12: e10 -> 708320 e10 -12: e11 -> 708f55 e11 -12: e12 -> 7097bf e12 -12: e13 -> 709bca e13 -12: e14 -> 70a66b e14 -12: e15 -> 70aa1e e15 -12: e16 -> 70b2f4 e16 -12: e17 -> 70be81 e17 -12: e18 -> 70c5c3 e18 -12: e19 -> 70c9b6 e19 -12: e1a -> 70d15c e1a -12: e1b -> 70dd29 e1b -12: e1c -> 70e088 e1c -12: e1d -> 70ecfd e1d -12: e1e -> 70f417 e1e -12: e1f -> 70f862 e1f -12: e20 -> 7101f5 e20 -12: e21 -> 710d80 e21 -12: e22 -> 71156a e22 -12: e23 -> 71191f e23 -12: e24 -> 7124be e24 -12: e25 -> 7128cb e25 -12: e26 -> 713021 e26 -12: e27 -> 713c54 e27 -12: e28 -> 714716 e28 -12: e29 -> 714b63 e29 -12: e2a -> 715389 e2a -12: e2b -> 715ffc e2b -12: e2c -> 71625d e2c -12: e2d -> 716e28 e2d -12: e2e -> 7176c2 e2e -12: e2f -> 717ab7 e2f -12: e30 -> 718046 e30 -12: e31 -> 718c33 e31 -12: e32 -> 7194d9 e32 -12: e33 -> 7198ac e33 -12: e34 -> 71a50d e34 -12: e35 -> 71a978 e35 -12: e36 -> 71b192 e36 -12: e37 -> 71bde7 e37 +14: Done! Now run +14: octave:1> tnewamp1("../path/to/build_linux/src/hts1a", "../path/to/build_linux/unittest") +14: /usr/bin/sh: line 6: octave-cli: command not found + 15/155 Test #14: test_codec2_700c_octave_port ...................***Failed Required regular expression not found. Regex=[fails: 0 +] 0.28 sec +LPC->{Am} SNR av: 11.49 dB over 300 frames + +Done! Now run + octave:1> tnewamp1("../path/to/build_linux/src/hts1a", "../path/to/build_linux/unittest") +/usr/bin/sh: line 6: octave-cli: command not found + +test 19 + Start 19: test_COHPSK_modem_octave_port + +19: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/tcohpsk && DISPLAY="" octave-cli --no-gui -qf /build/reproducible-path/codec2-1.2.0/octave/tcohpsk.m" +19: Working Directory: /build/reproducible-path/codec2-1.2.0/octave +19: Test timeout computed to be: 1500 11: e38 -> 71c6a5 e38 11: e39 -> 71cad0 e39 11: e3a -> 71d23a e3a @@ -10159,234 +10730,8 @@ 11: f19 -> 78ca6c f19 11: f1a -> 78d286 f1a 11: f1b -> 78def3 f1b -12: e38 -> 71c6a5 e38 -12: e39 -> 71cad0 e39 -12: e3a -> 71d23a e3a -12: e3b -> 71de4f e3b -12: e3c -> 71e3ee e3c -12: e3d -> 71ef9b e3d -12: e3e -> 71f771 e3e -12: e3f -> 71fb04 e3f -12: e40 -> 72045f e40 -12: e41 -> 72082a e41 -12: e42 -> 7210c0 e42 -12: e43 -> 721cb5 e43 -12: e44 -> 722114 e44 -12: e45 -> 722d61 e45 -12: e46 -> 72358b e46 -12: e47 -> 7239fe e47 -12: e48 -> 7242bc e48 -12: e49 -> 724ec9 e49 -12: e4a -> 725623 e4a -12: e4b -> 725a56 e4b -12: e4c -> 7267f7 e4c -12: e4d -> 726b82 e4d -12: e4e -> 727368 e4e -12: e4f -> 727f1d e4f -12: e50 -> 7285ec e50 -12: e51 -> 728999 e51 -12: e52 -> 729173 e52 -12: e53 -> 729d06 e53 -12: e54 -> 72a0a7 e54 -12: e55 -> 72acd2 e55 -12: e56 -> 72b438 e56 -12: e57 -> 72b84d e57 -12: e58 -> 72c30f e58 -12: e59 -> 72cf7a e59 -12: e5a -> 72d790 e5a -12: e5b -> 72dbe5 e5b -12: e5c -> 72e644 e5c -12: e5d -> 72ea31 e5d -12: e5e -> 72f2db e5e -12: e5f -> 72feae e5f -12: e60 -> 730739 e60 -12: e61 -> 730b4c e61 -12: e62 -> 7313a6 e62 -12: e63 -> 731fd3 e63 -12: e64 -> 732272 e64 -12: e65 -> 732e07 e65 -12: e66 -> 7336ed e66 -12: e67 -> 733a98 e67 -12: e68 -> 7341da e68 -12: e69 -> 734daf e69 -12: e6a -> 735545 e6a -12: e6b -> 735930 e6b -12: e6c -> 736491 e6c -12: e6d -> 7368e4 e6d -12: e6e -> 73700e e6e -12: e6f -> 737c7b e6f -12: e70 -> 73868a e70 -12: e71 -> 738aff e71 -12: e72 -> 739215 e72 -12: e73 -> 739e60 e73 -12: e74 -> 73a3c1 e74 -12: e75 -> 73afb4 e75 -12: e76 -> 73b75e e76 -12: e77 -> 73bb2b e77 -12: e78 -> 73c069 e78 -12: e79 -> 73cc1c e79 -12: e7a -> 73d4f6 e7a -12: e7b -> 73d883 e7b -12: e7c -> 73e522 e7c -12: e7d -> 73e957 e7d -12: e7e -> 73f1bd e7e -12: e7f -> 73fdc8 e7f -12: e80 -> 74037e e80 -12: e81 -> 740f0b e81 -12: e82 -> 7417e1 e82 -12: e83 -> 741b94 e83 -12: e84 -> 742635 e84 -12: e85 -> 742a40 e85 -12: e86 -> 7432aa e86 -12: e87 -> 743edf e87 -12: e88 -> 74459d e88 -12: e89 -> 7449e8 e89 -12: e8a -> 745102 e8a -12: e8b -> 745d77 e8b -12: e8c -> 7460d6 e8c -12: e8d -> 746ca3 e8d -12: e8e -> 747449 e8e -12: e8f -> 74783c e8f -12: e90 -> 7482cd e90 -12: e91 -> 748eb8 e91 -12: e92 -> 749652 e92 -12: e93 -> 749a27 e93 -12: e94 -> 74a786 e94 -12: e95 -> 74abf3 e95 -12: e96 -> 74b319 e96 -12: e97 -> 74bf6c e97 -12: e98 -> 74c42e e98 -12: e99 -> 74c85b e99 -12: e9a -> 74d0b1 e9a -12: e9b -> 74dcc4 e9b -12: e9c -> 74e165 e9c -12: e9d -> 74ed10 e9d -12: e9e -> 74f5fa e9e -12: e9f -> 74f98f e9f -12: ea0 -> 750018 ea0 -12: ea1 -> 750c6d ea1 -12: ea2 -> 751487 ea2 -12: ea3 -> 7518f2 ea3 -12: ea4 -> 752553 ea4 -12: ea5 -> 752926 ea5 -12: ea6 -> 7531cc ea6 -12: ea7 -> 753db9 ea7 -12: ea8 -> 7546fb ea8 -12: ea9 -> 754a8e ea9 -12: eaa -> 755264 eaa -12: eab -> 755e11 eab -12: eac -> 7563b0 eac -12: ead -> 756fc5 ead -12: eae -> 75772f eae -12: eaf -> 757b5a eaf -12: eb0 -> 7581ab eb0 -12: eb1 -> 758dde eb1 -12: eb2 -> 759534 eb2 -12: eb3 -> 759941 eb3 -12: eb4 -> 75a4e0 eb4 -12: eb5 -> 75a895 eb5 -12: eb6 -> 75b07f eb6 -12: eb7 -> 75bc0a eb7 -12: eb8 -> 75c748 eb8 -12: eb9 -> 75cb3d eb9 -12: eba -> 75d3d7 eba -12: ebb -> 75dfa2 ebb -12: ebc -> 75e203 ebc -12: ebd -> 75ee76 ebd -12: ebe -> 75f69c ebe -12: ebf -> 75fae9 ebf -12: ec0 -> 7605b2 ec0 -12: ec1 -> 7609c7 ec1 -12: ec2 -> 76112d ec2 -12: ec3 -> 761d58 ec3 -12: ec4 -> 7620f9 ec4 -12: ec5 -> 762c8c ec5 -12: ec6 -> 763466 ec6 -12: ec7 -> 763813 ec7 -12: ec8 -> 764351 ec8 -12: ec9 -> 764f24 ec9 -12: eca -> 7657ce eca -12: ecb -> 765bbb ecb -12: ecc -> 76661a ecc -12: ecd -> 766a6f ecd -12: ece -> 767285 ece -12: ecf -> 767ef0 ecf -12: ed0 -> 768401 ed0 -12: ed1 -> 768874 ed1 -12: ed2 -> 76909e ed2 -12: ed3 -> 769ceb ed3 -12: ed4 -> 76a14a ed4 -12: ed5 -> 76ad3f ed5 -12: ed6 -> 76b5d5 ed6 -12: ed7 -> 76b9a0 ed7 -12: ed8 -> 76c2e2 ed8 -12: ed9 -> 76ce97 ed9 -12: eda -> 76d67d eda -12: edb -> 76da08 edb -12: edc -> 76e7a9 edc -12: edd -> 76ebdc edd -12: ede -> 76f336 ede -12: edf -> 76ff43 edf -12: ee0 -> 7706d4 ee0 -12: ee1 -> 770aa1 ee1 -12: ee2 -> 77124b ee2 -12: ee3 -> 771e3e ee3 -12: ee4 -> 77239f ee4 -12: ee5 -> 772fea ee5 -12: ee6 -> 773700 ee6 -12: ee7 -> 773b75 ee7 -12: ee8 -> 774037 ee8 -12: ee9 -> 774c42 ee9 -12: eea -> 7754a8 eea -12: eeb -> 7758dd eeb -12: eec -> 77657c eec -12: eed -> 776909 eed -12: eee -> 7771e3 eee -12: eef -> 777d96 eef -12: ef0 -> 778767 ef0 -12: ef1 -> 778b12 ef1 -12: ef2 -> 7793f8 ef2 -12: ef3 -> 779f8d ef3 -12: ef4 -> 77a22c ef4 -12: ef5 -> 77ae59 ef5 -12: ef6 -> 77b6b3 ef6 -12: ef7 -> 77bac6 ef7 -12: ef8 -> 77c184 ef8 -12: ef9 -> 77cdf1 ef9 -12: efa -> 77d51b efa -12: efb -> 77d96e efb -12: efc -> 77e4cf efc -12: efd -> 77e8ba efd -12: efe -> 77f050 efe -12: eff -> 77fc25 eff -12: f00 -> 780149 f00 -12: f01 -> 780d3c f01 -12: f02 -> 7815d6 f02 -12: f03 -> 7819a3 f03 -12: f04 -> 782402 f04 -12: f05 -> 782877 f05 -12: f06 -> 78309d f06 -12: f07 -> 783ce8 f07 -12: f08 -> 7847aa f08 -12: f09 -> 784bdf f09 -12: f0a -> 785335 f0a -12: f0b -> 785f40 f0b -12: f0c -> 7862e1 f0c -12: f0d -> 786e94 f0d -12: f0e -> 78767e f0e -12: f0f -> 787a0b f0f -12: f10 -> 7880fa f10 -12: f11 -> 788c8f f11 -12: f12 -> 789465 f12 -12: f13 -> 789810 f13 -12: f14 -> 78a5b1 f14 -12: f15 -> 78a9c4 f15 -12: f16 -> 78b12e f16 -12: f17 -> 78bd5b f17 -12: f18 -> 78c619 f18 -12: f19 -> 78ca6c f19 -12: f1a -> 78d286 f1a -12: f1b -> 78def3 f1b +18: bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 +18: bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 11: f1c -> 78e352 f1c 11: f1d -> 78ef27 f1d 11: f1e -> 78f7cd f1e @@ -10616,313 +10961,21 @@ 11: ffe -> 7ff38a ffe 11: fff -> 7fffff fff 11: Everything checks out - 11/155 Test #11: test_golay23 ................................... Passed 0.84 sec -test 14 - Start 14: test_codec2_700c_octave_port - -14: Test command: /usr/bin/sh "-c" " - cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./c2sim /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw --phase0 --postfilter --dump hts1a --lpc 10 --dump_pitch_e hts1a_pitche.txt; - cd /build/reproducible-path/codec2-1.2.0/Build/unittest; ./tnewamp1 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw; - cd /build/reproducible-path/codec2-1.2.0/octave; - DISPLAY="" octave-cli -qf --eval 'tnewamp1("/build/reproducible-path/codec2-1.2.0/Build/src/hts1a", "/build/reproducible-path/codec2-1.2.0/Build/unittest")'" -14: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -14: Test timeout computed to be: 1500 -12: f1c -> 78e352 f1c -12: f1d -> 78ef27 f1d -12: f1e -> 78f7cd f1e -12: f1f -> 78fbb8 f1f -12: f20 -> 79022f f20 -12: f21 -> 790e5a f21 -12: f22 -> 7916b0 f22 -12: f23 -> 791ac5 f23 -12: f24 -> 792764 f24 -12: f25 -> 792b11 f25 -12: f26 -> 7933fb f26 -12: f27 -> 793f8e f27 -12: f28 -> 7944cc f28 -12: f29 -> 7948b9 f29 -12: f2a -> 795053 f2a -12: f2b -> 795c26 f2b -12: f2c -> 796187 f2c -12: f2d -> 796df2 f2d -12: f2e -> 797518 f2e -12: f2f -> 79796d f2f -12: f30 -> 79839c f30 -12: f31 -> 798fe9 f31 -12: f32 -> 799703 f32 -12: f33 -> 799b76 f33 -12: f34 -> 79a6d7 f34 -12: f35 -> 79aaa2 f35 -12: f36 -> 79b248 f36 -12: f37 -> 79be3d f37 -12: f38 -> 79c57f f38 -12: f39 -> 79c90a f39 -12: f3a -> 79d1e0 f3a -12: f3b -> 79dd95 f3b -12: f3c -> 79e034 f3c -12: f3d -> 79ec41 f3d -12: f3e -> 79f4ab f3e -12: f3f -> 79f8de f3f -12: f40 -> 7a0785 f40 -12: f41 -> 7a0bf0 f41 -12: f42 -> 7a131a f42 -12: f43 -> 7a1f6f f43 -12: f44 -> 7a22ce f44 -12: f45 -> 7a2ebb f45 -12: f46 -> 7a3651 f46 -12: f47 -> 7a3a24 f47 -12: f48 -> 7a4166 f48 -12: f49 -> 7a4d13 f49 -12: f4a -> 7a55f9 f4a -12: f4b -> 7a598c f4b -12: f4c -> 7a642d f4c -12: f4d -> 7a6858 f4d -12: f4e -> 7a70b2 f4e -12: f4f -> 7a7cc7 f4f -12: f50 -> 7a8636 f50 -12: f51 -> 7a8a43 f51 -12: f52 -> 7a92a9 f52 -12: f53 -> 7a9edc f53 -12: f54 -> 7aa37d f54 -12: f55 -> 7aaf08 f55 -12: f56 -> 7ab7e2 f56 -12: f57 -> 7abb97 f57 -12: f58 -> 7ac0d5 f58 -12: f59 -> 7acca0 f59 -12: f5a -> 7ad44a f5a -12: f5b -> 7ad83f f5b -12: f5c -> 7ae59e f5c -12: f5d -> 7ae9eb f5d -12: f5e -> 7af101 f5e -12: f5f -> 7afd74 f5f -12: f60 -> 7b04e3 f60 -12: f61 -> 7b0896 f61 -12: f62 -> 7b107c f62 -12: f63 -> 7b1c09 f63 -12: f64 -> 7b21a8 f64 -12: f65 -> 7b2ddd f65 -12: f66 -> 7b3537 f66 -12: f67 -> 7b3942 f67 -12: f68 -> 7b4200 f68 -12: f69 -> 7b4e75 f69 -12: f6a -> 7b569f f6a -12: f6b -> 7b5aea f6b -12: f6c -> 7b674b f6c -12: f6d -> 7b6b3e f6d -12: f6e -> 7b73d4 f6e -12: f6f -> 7b7fa1 f6f -12: f70 -> 7b8550 f70 -12: f71 -> 7b8925 f71 -12: f72 -> 7b91cf f72 -12: f73 -> 7b9dba f73 -12: f74 -> 7ba01b f74 -12: f75 -> 7bac6e f75 -12: f76 -> 7bb484 f76 -12: f77 -> 7bb8f1 f77 -12: f78 -> 7bc3b3 f78 -12: f79 -> 7bcfc6 f79 -12: f7a -> 7bd72c f7a -12: f7b -> 7bdb59 f7b -12: f7c -> 7be6f8 f7c -12: f7d -> 7bea8d f7d -12: f7e -> 7bf267 f7e -12: f7f -> 7bfe12 f7f -12: f80 -> 7c00a4 f80 -12: f81 -> 7c0cd1 f81 -12: f82 -> 7c143b f82 -12: f83 -> 7c184e f83 -12: f84 -> 7c25ef f84 -12: f85 -> 7c299a f85 -12: f86 -> 7c3170 f86 -12: f87 -> 7c3d05 f87 -12: f88 -> 7c4647 f88 -12: f89 -> 7c4a32 f89 -12: f8a -> 7c52d8 f8a -12: f8b -> 7c5ead f8b -12: f8c -> 7c630c f8c -12: f8d -> 7c6f79 f8d -12: f8e -> 7c7793 f8e -12: f8f -> 7c7be6 f8f -12: f90 -> 7c8117 f90 -12: f91 -> 7c8d62 f91 -12: f92 -> 7c9588 f92 -12: f93 -> 7c99fd f93 -12: f94 -> 7ca45c f94 -12: f95 -> 7ca829 f95 -12: f96 -> 7cb0c3 f96 -12: f97 -> 7cbcb6 f97 -12: f98 -> 7cc7f4 f98 -12: f99 -> 7ccb81 f99 -12: f9a -> 7cd36b f9a -12: f9b -> 7cdf1e f9b -12: f9c -> 7ce2bf f9c -12: f9d -> 7ceeca f9d -12: f9e -> 7cf620 f9e -12: f9f -> 7cfa55 f9f -12: fa0 -> 7d03c2 fa0 -12: fa1 -> 7d0fb7 fa1 -12: fa2 -> 7d175d fa2 -12: fa3 -> 7d1b28 fa3 -12: fa4 -> 7d2689 fa4 -12: fa5 -> 7d2afc fa5 -12: fa6 -> 7d3216 fa6 -12: fa7 -> 7d3e63 fa7 -12: fa8 -> 7d4521 fa8 -12: fa9 -> 7d4954 fa9 -12: faa -> 7d51be faa -12: fab -> 7d5dcb fab -12: fac -> 7d606a fac -12: fad -> 7d6c1f fad -12: fae -> 7d74f5 fae -12: faf -> 7d7880 faf -12: fb0 -> 7d8271 fb0 -12: fb1 -> 7d8e04 fb1 -12: fb2 -> 7d96ee fb2 -12: fb3 -> 7d9a9b fb3 -12: fb4 -> 7da73a fb4 -12: fb5 -> 7dab4f fb5 -12: fb6 -> 7db3a5 fb6 -12: fb7 -> 7dbfd0 fb7 -12: fb8 -> 7dc492 fb8 -12: fb9 -> 7dc8e7 fb9 -12: fba -> 7dd00d fba -12: fbb -> 7ddc78 fbb -12: fbc -> 7de1d9 fbc -12: fbd -> 7dedac fbd -12: fbe -> 7df546 fbe -12: fbf -> 7df933 fbf -12: fc0 -> 7e0668 fc0 -12: fc1 -> 7e0a1d fc1 -12: fc2 -> 7e12f7 fc2 -12: fc3 -> 7e1e82 fc3 -12: fc4 -> 7e2323 fc4 -12: fc5 -> 7e2f56 fc5 -12: fc6 -> 7e37bc fc6 -12: fc7 -> 7e3bc9 fc7 -12: fc8 -> 7e408b fc8 -12: fc9 -> 7e4cfe fc9 -12: fca -> 7e5414 fca -12: fcb -> 7e5861 fcb -12: fcc -> 7e65c0 fcc -12: fcd -> 7e69b5 fcd -12: fce -> 7e715f fce -12: fcf -> 7e7d2a fcf -12: fd0 -> 7e87db fd0 -12: fd1 -> 7e8bae fd1 -12: fd2 -> 7e9344 fd2 -12: fd3 -> 7e9f31 fd3 -12: fd4 -> 7ea290 fd4 -12: fd5 -> 7eaee5 fd5 -12: fd6 -> 7eb60f fd6 -12: fd7 -> 7eba7a fd7 -12: fd8 -> 7ec138 fd8 -12: fd9 -> 7ecd4d fd9 -12: fda -> 7ed5a7 fda -12: fdb -> 7ed9d2 fdb -12: fdc -> 7ee473 fdc -12: fdd -> 7ee806 fdd -12: fde -> 7ef0ec fde -12: fdf -> 7efc99 fdf -12: fe0 -> 7f050e fe0 -12: fe1 -> 7f097b fe1 -12: fe2 -> 7f1191 fe2 -12: fe3 -> 7f1de4 fe3 -12: fe4 -> 7f2045 fe4 -12: fe5 -> 7f2c30 fe5 -12: fe6 -> 7f34da fe6 -12: fe7 -> 7f38af fe7 -12: fe8 -> 7f43ed fe8 -12: fe9 -> 7f4f98 fe9 -12: fea -> 7f5772 fea -12: feb -> 7f5b07 feb -12: fec -> 7f66a6 fec -12: fed -> 7f6ad3 fed -12: fee -> 7f7239 fee -12: fef -> 7f7e4c fef -12: ff0 -> 7f84bd ff0 -12: ff1 -> 7f88c8 ff1 -12: ff2 -> 7f9022 ff2 -12: ff3 -> 7f9c57 ff3 -12: ff4 -> 7fa1f6 ff4 -12: ff5 -> 7fad83 ff5 -12: ff6 -> 7fb569 ff6 -12: ff7 -> 7fb91c ff7 -12: ff8 -> 7fc25e ff8 -12: ff9 -> 7fce2b ff9 -12: ffa -> 7fd6c1 ffa -12: ffb -> 7fdab4 ffb -12: ffc -> 7fe715 ffc -12: ffd -> 7feb60 ffd -12: ffe -> 7ff38a ffe -12: fff -> 7fffff fff -12: Everything checks out -13: ch: SNR3k(dB): 85.23 C/No....: 120.00 -13: ch: peak.....: 10038.18 RMS.....: 9993.49 CPAPR.....: 0.04 -13: ch: Nsamples.: 80000 clipped.: 0.00% OutClipped: 0.00% - 12/155 Test #12: test_golay23_runtime_tables .................... Passed 0.89 sec - 13/155 Test #13: test_ch_papr ................................... Passed 0.32 sec -test 15 - Start 15: test_FDMDV_modem_octave_ut - -15: Test command: /usr/bin/sh "-c" " - cd /build/reproducible-path/codec2-1.2.0/octave/; - DISPLAY="" octave-cli -qf fdmdv_ut.m" -15: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -15: Test timeout computed to be: 1500 -test 16 - Start 16: test_FDMDV_modem_octave_mod_demod - -16: Test command: /usr/bin/sh "-c" " - cd /build/reproducible-path/codec2-1.2.0/octave/; - echo "fdmdv_mod('test.raw',1400); fdmdv_demod('test.raw',1400); quit" | DISPLAY="" octave-cli" -16: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -16: Test timeout computed to be: 1500 -15: /usr/bin/sh: 3: octave-cli: not found - 14/155 Test #15: test_FDMDV_modem_octave_ut .....................***Failed Required regular expression not found. Regex=[errors......: 0 -] 0.03 sec -/usr/bin/sh: 3: octave-cli: not found - -test 17 - Start 17: test_FDMDV_modem_octave_port - -17: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/tfdmdv && DISPLAY="" octave-cli --no-gui -qf /build/reproducible-path/codec2-1.2.0/octave/tfdmdv.m" -17: Working Directory: /build/reproducible-path/codec2-1.2.0/octave -17: Test timeout computed to be: 1500 -16: /usr/bin/sh: 3: octave-cli: not found -14: LPC->{Am} SNR av: 11.49 dB over 300 frames - 15/155 Test #16: test_FDMDV_modem_octave_mod_demod ..............***Failed Required regular expression not found. Regex=[0 errors -] 0.03 sec -/usr/bin/sh: 3: octave-cli: not found - -test 18 - Start 18: test_FDMDV_modem_octave_c - -18: Test command: /usr/bin/sh "-c" " - cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./fdmdv_get_test_bits - 14000 | ./fdmdv_mod - - | - ./fdmdv_demod - - 14 demod_dump.txt | ./fdmdv_put_test_bits - ; - cd /build/reproducible-path/codec2-1.2.0/octave/; - DISPLAY="" octave-cli -qf fdmdv_ut.m" -18: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -18: Test timeout computed to be: 1500 -18: bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 -18: bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 -14: -17: sizeof FDMDV states: 40020 bytes -17: /usr/bin/sh: 1: octave-cli: not found - 16/155 Test #17: test_FDMDV_modem_octave_port ...................***Failed Required regular expression not found. Regex=[fails: 0 -] 0.30 sec -sizeof FDMDV states: 40020 bytes -/usr/bin/sh: 1: octave-cli: not found - -test 19 - Start 19: test_COHPSK_modem_octave_port + 16/155 Test #11: test_golay23 ................................... Passed 0.63 sec +test 20 + Start 20: test_COHPSK_modem_AWGN_BER -19: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/tcohpsk && DISPLAY="" octave-cli --no-gui -qf /build/reproducible-path/codec2-1.2.0/octave/tcohpsk.m" -19: Working Directory: /build/reproducible-path/codec2-1.2.0/octave -19: Test timeout computed to be: 1500 +20: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./cohpsk_get_test_bits - 5600 | + ./cohpsk_mod - - | + ./ch - - --No -30 --Fs 7500 | + ./cohpsk_demod - - | + ./cohpsk_put_test_bits -" +20: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +20: Test timeout computed to be: 1500 19: [0] acohpsk.f_est: 1460.000000 +/- 20 +20: diversity: 1 +20: ch: Fs: 7500 NodB: -30.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 19: [0] fine freq f: -13.25 max_ratio: 0.911112 ct: 5 19: [0] encouraging sync word! 19: [0] acohpsk.f_est: 1500.000000 +/- 20 @@ -10942,43 +10995,15 @@ 19: [1] fine freq f: -16.75 max_ratio: 0.939264 ct: 4 19: [1] encouraging sync word! 19: [1] trying sync and f_est: 1556.750000 +17: sizeof FDMDV states: 40020 bytes +17: /usr/bin/sh: line 1: octave-cli: command not found 19: [1] fine freq f: 2.00 max_ratio: 0.954252 ct: 4 19: [1] encouraging sync word! 19: [1] in sync! f_est: 1556.750000 ratio: 0.954252 -14: Done! Now run -14: octave:1> tnewamp1("../path/to/build_linux/src/hts1a", "../path/to/build_linux/unittest") -14: /usr/bin/sh: 6: octave-cli: not found - 17/155 Test #14: test_codec2_700c_octave_port ...................***Failed Required regular expression not found. Regex=[fails: 0 -] 0.62 sec -LPC->{Am} SNR av: 11.49 dB over 300 frames - -Done! Now run - octave:1> tnewamp1("../path/to/build_linux/src/hts1a", "../path/to/build_linux/unittest") -/usr/bin/sh: 6: octave-cli: not found - -test 20 - Start 20: test_COHPSK_modem_AWGN_BER - -20: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./cohpsk_get_test_bits - 5600 | - ./cohpsk_mod - - | - ./ch - - --No -30 --Fs 7500 | - ./cohpsk_demod - - | - ./cohpsk_put_test_bits -" -20: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -20: Test timeout computed to be: 1500 -20: ch: Fs: 7500 NodB: -30.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 -20: diversity: 1 -18: -----------------+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+ -18: bits 13664 errors 0 BER 0.0000 -18: /usr/bin/sh: 6: octave-cli: not found - 18/155 Test #18: test_FDMDV_modem_octave_c ......................***Failed Required regular expression not found. Regex=[errors......: 0 -] 0.87 sec -bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 -bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 ------------------+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+ -bits 13664 errors 0 BER 0.0000 -/usr/bin/sh: 6: octave-cli: not found + 17/155 Test #17: test_FDMDV_modem_octave_port ...................***Failed Required regular expression not found. Regex=[fails: 0 +] 0.24 sec +sizeof FDMDV states: 40020 bytes +/usr/bin/sh: line 1: octave-cli: command not found test 21 Start 21: test_COHPSK_modem_freq_offset @@ -10997,16 +11022,19 @@ 20: ch: peak.....: 7111.14 RMS.....: 3541.86 CPAPR.....: 6.05 20: ch: Nsamples.: 60000 clipped.: 0.00% OutClipped: 0.00% 20: BER: 0.000 Nbits: 4872 Nerrors: 0 - 19/155 Test #20: test_COHPSK_modem_AWGN_BER ..................... Passed 0.69 sec + 18/155 Test #20: test_COHPSK_modem_AWGN_BER ..................... Passed 0.37 sec test 22 Start 22: test_OFDM_qam16 22: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/unittest/tqam16" 22: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 22: Test timeout computed to be: 1500 +21: ch: SNR3k(dB): 16.21 C/No....: 50.99 +21: ch: peak.....: 7111.14 RMS.....: 3541.86 CPAPR.....: 6.05 +21: ch: Nsamples.: 60000 clipped.: 0.00% OutClipped: 0.00% 22: 16 tested OK... 22: PASS! - 20/155 Test #22: test_OFDM_qam16 ................................ Passed 0.02 sec + 19/155 Test #22: test_OFDM_qam16 ................................ Passed 0.02 sec test 23 Start 23: test_OFDM_modem_octave_port @@ -11015,10 +11043,10 @@ 23: Environment variables: 23: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 23: Test timeout computed to be: 1500 -23: /usr/bin/sh: 1: octave-cli: not found - 21/155 Test #23: test_OFDM_modem_octave_port ....................***Failed Required regular expression not found. Regex=[fails: 0 -] 0.01 sec -/usr/bin/sh: 1: octave-cli: not found +23: /usr/bin/sh: line 1: octave-cli: command not found + 20/155 Test #23: test_OFDM_modem_octave_port ....................***Failed Required regular expression not found. Regex=[fails: 0 +] 0.02 sec +/usr/bin/sh: line 1: octave-cli: command not found test 24 Start 24: test_OFDM_modem_octave_port_Nc_31 @@ -11028,10 +11056,10 @@ 24: Environment variables: 24: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 24: Test timeout computed to be: 1500 -24: /usr/bin/sh: 1: octave-cli: not found - 22/155 Test #24: test_OFDM_modem_octave_port_Nc_31 ..............***Failed Required regular expression not found. Regex=[fails: 0 -] 0.01 sec -/usr/bin/sh: 1: octave-cli: not found +24: /usr/bin/sh: line 1: octave-cli: command not found + 21/155 Test #24: test_OFDM_modem_octave_port_Nc_31 ..............***Failed Required regular expression not found. Regex=[fails: 0 +] 0.02 sec +/usr/bin/sh: line 1: octave-cli: command not found test 25 Start 25: test_OFDM_modem_octave_qam16_uncoded @@ -11041,14 +11069,8 @@ DISPLAY="" octave-cli" 25: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 25: Test timeout computed to be: 1500 -21: ch: SNR3k(dB): 16.21 C/No....: 50.99 -21: ch: peak.....: 7111.14 RMS.....: 3541.86 CPAPR.....: 6.05 -21: ch: Nsamples.: 60000 clipped.: 0.00% OutClipped: 0.00% -25: /usr/bin/sh: 3: octave-cli: not found - 23/155 Test #25: test_OFDM_modem_octave_qam16_uncoded ...........***Failed Required regular expression not found. Regex=[Pass -] 0.03 sec -/usr/bin/sh: 3: octave-cli: not found - +21: BER: 0.000 Nbits: 5432 Nerrors: 0 + 22/155 Test #21: test_COHPSK_modem_freq_offset .................. Passed 0.36 sec test 26 Start 26: test_OFDM_modem_esno_est_octave @@ -11057,10 +11079,10 @@ PATH_TO_UNITEST=/build/reproducible-path/codec2-1.2.0/Build/unittest/ DISPLAY="" octave-cli" 26: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 26: Test timeout computed to be: 1500 -26: /usr/bin/sh: 3: octave-cli: not found - 24/155 Test #26: test_OFDM_modem_esno_est_octave ................***Failed Required regular expression not found. Regex=[AWGN Pass.*MPP Pass -] 0.04 sec -/usr/bin/sh: 3: octave-cli: not found +25: /usr/bin/sh: line 3: octave-cli: command not found + 23/155 Test #25: test_OFDM_modem_octave_qam16_uncoded ...........***Failed Required regular expression not found. Regex=[Pass +] 0.03 sec +/usr/bin/sh: line 3: octave-cli: command not found test 27 Start 27: test_OFDM_modem_esno_est_c @@ -11070,9 +11092,11 @@ PATH_TO_UNITEST=/build/reproducible-path/codec2-1.2.0/Build/unittest/ DISPLAY="" octave-cli" 27: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 27: Test timeout computed to be: 1500 -27: /usr/bin/sh: 3: octave-cli: not found -21: BER: 0.000 Nbits: 5432 Nerrors: 0 - 25/155 Test #21: test_COHPSK_modem_freq_offset .................. Passed 0.47 sec +26: /usr/bin/sh: line 3: octave-cli: command not found + 24/155 Test #26: test_OFDM_modem_esno_est_octave ................***Failed Required regular expression not found. Regex=[AWGN Pass.*MPP Pass +] 0.02 sec +/usr/bin/sh: line 3: octave-cli: command not found + test 28 Start 28: test_OFDM_modem_octave_burst_acq @@ -11080,9 +11104,10 @@ echo "ctest=1; ofdm_acquisition; quit" | DISPLAY="" octave-cli" 28: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 28: Test timeout computed to be: 1500 - 26/155 Test #27: test_OFDM_modem_esno_est_c .....................***Failed Required regular expression not found. Regex=[AWGN Pass.*MPP Pass -] 0.03 sec -/usr/bin/sh: 3: octave-cli: not found +27: /usr/bin/sh: line 3: octave-cli: command not found + 25/155 Test #27: test_OFDM_modem_esno_est_c .....................***Failed Required regular expression not found. Regex=[AWGN Pass.*MPP Pass +] 0.02 sec +/usr/bin/sh: line 3: octave-cli: command not found test 29 Start 29: test_OFDM_modem_octave_datac0_postamble @@ -11092,10 +11117,10 @@ DISPLAY="" octave-cli" 29: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 29: Test timeout computed to be: 1500 -28: /usr/bin/sh: 2: octave-cli: not found - 27/155 Test #28: test_OFDM_modem_octave_burst_acq ...............***Failed Required regular expression not found. Regex=[P.acq. = 1.00 -] 0.01 sec -/usr/bin/sh: 2: octave-cli: not found +28: /usr/bin/sh: line 2: octave-cli: command not found + 26/155 Test #28: test_OFDM_modem_octave_burst_acq ...............***Failed Required regular expression not found. Regex=[P.acq. = 1.00 +] 0.02 sec +/usr/bin/sh: line 2: octave-cli: command not found test 30 Start 30: test_OFDM_modem_burst_acq_port @@ -11104,10 +11129,10 @@ echo "tofdm_acq; quit" | PATH_TO_UNITTEST=/build/reproducible-path/codec2-1.2.0/Build/unittest DISPLAY="" octave-cli" 30: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 30: Test timeout computed to be: 1500 -29: /usr/bin/sh: 3: octave-cli: not found - 28/155 Test #29: test_OFDM_modem_octave_datac0_postamble ........***Failed Required regular expression not found. Regex=[Pass +29: /usr/bin/sh: line 3: octave-cli: command not found + 27/155 Test #29: test_OFDM_modem_octave_datac0_postamble ........***Failed Required regular expression not found. Regex=[Pass ] 0.02 sec -/usr/bin/sh: 3: octave-cli: not found +/usr/bin/sh: line 3: octave-cli: command not found test 31 Start 31: test_OFDM_modem_octave_datac0_mpp @@ -11117,10 +11142,10 @@ DISPLAY="" octave-cli" 31: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 31: Test timeout computed to be: 1500 -30: /usr/bin/sh: 2: octave-cli: not found - 29/155 Test #30: test_OFDM_modem_burst_acq_port .................***Failed Required regular expression not found. Regex=[PASS -] 0.03 sec -/usr/bin/sh: 2: octave-cli: not found +30: /usr/bin/sh: line 2: octave-cli: command not found + 28/155 Test #30: test_OFDM_modem_burst_acq_port .................***Failed Required regular expression not found. Regex=[PASS +] 0.02 sec +/usr/bin/sh: line 2: octave-cli: command not found test 32 Start 32: test_OFDM_modem_octave_datac0_mpp_coded @@ -11132,10 +11157,10 @@ 32: Environment variables: 32: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 32: Test timeout computed to be: 1500 -31: /usr/bin/sh: 3: octave-cli: not found - 30/155 Test #31: test_OFDM_modem_octave_datac0_mpp ..............***Failed Required regular expression not found. Regex=[Pass -] 0.03 sec -/usr/bin/sh: 3: octave-cli: not found +31: /usr/bin/sh: line 3: octave-cli: command not found + 29/155 Test #31: test_OFDM_modem_octave_datac0_mpp ..............***Failed Required regular expression not found. Regex=[Pass +] 0.02 sec +/usr/bin/sh: line 3: octave-cli: command not found test 33 Start 33: test_OFDM_modem_datac0_compression @@ -11146,10 +11171,11 @@ 33: Environment variables: 33: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 33: Test timeout computed to be: 1500 -32: /usr/bin/sh: 3: octave-cli: not found - 31/155 Test #32: test_OFDM_modem_octave_datac0_mpp_coded ........***Failed Required regular expression not found. Regex=[Pass -] 0.04 sec -/usr/bin/sh: 3: octave-cli: not found +32: /usr/bin/sh: line 3: octave-cli: command not found +33: ++ mktemp + 30/155 Test #32: test_OFDM_modem_octave_datac0_mpp_coded ........***Failed Required regular expression not found. Regex=[Pass +] 0.03 sec +/usr/bin/sh: line 3: octave-cli: command not found test 34 Start 34: test_OFDM_modem_700D @@ -11160,27 +11186,21 @@ ./ofdm_demod --testframes > /dev/null" 34: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 34: Test timeout computed to be: 1500 +33: + octave_log=/tmp/tmp.dJdc79urlb 33: ++ mktemp +33: + ch_log=/tmp/tmp.LpXBVZ6NBy +33: + echo 'warning ('\''off'\'', '\''Octave:data-file-in-path'\''); +33: ofdm_ldpc_tx('\''test_datac0.raw'\'','\''datac0'\'',1,100,'\''awgn'\'','\''bursts'\'',10,'\''txclip'\''); +33: quit' 34: - -33: + octave_log=/tmp/tmp.gYODXS9WB1 -33: ++ mktemp -33: + ch_log=/tmp/tmp.SyhQIASS4L 33: + DISPLAY= 33: + octave-cli -p /build/reproducible-path/codec2-1.2.0/octave 33: ./check_comp.sh: line 18: octave-cli: command not found -33: + echo 'warning ('\''off'\'', '\''Octave:data-file-in-path'\''); -33: ofdm_ldpc_tx('\''test_datac0.raw'\'','\''datac0'\'',1,100,'\''awgn'\'','\''bursts'\'',10,'\''txclip'\''); -33: quit' -33: ++ tr -s ' ' -33: ++ grep RMS: 33: ++ cut '-d ' -f4 -33: ++ cat /tmp/tmp.gYODXS9WB1 -33: + oct_rms= -33: ++ cat /tmp/tmp.gYODXS9WB1 -33: ++ cut '-d ' -f6 -33: ++ tr -s ' ' +33: ++ cat /tmp/tmp.dJdc79urlb 33: ++ grep RMS: - 32/155 Test #34: test_OFDM_modem_700D ........................... Passed 0.11 sec +33: ++ tr -s ' ' + 31/155 Test #34: test_OFDM_modem_700D ........................... Passed 0.05 sec test 35 Start 35: test_OFDM_modem_700D_ldpc @@ -11190,12 +11210,20 @@ ./ofdm_demod --ldpc --testframes > /dev/null" 35: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 35: Test timeout computed to be: 1500 -33: + oct_cpapr= -33: + freedv_data_raw_tx datac0 /dev/zero - --delay 1000 --testframes 10 --bursts 10 --clip 1 --txbpf 1 -33: payload bytes_per_modem_frame: 14 -33: + ch - /dev/null +33: + oct_rms= +18: -----------------+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+ +18: bits 13664 errors 0 BER 0.0000 +18: /usr/bin/sh: line 6: octave-cli: command not found +33: ++ cat /tmp/tmp.dJdc79urlb 35: - - 33/155 Test #35: test_OFDM_modem_700D_ldpc ...................... Passed 0.09 sec + 32/155 Test #18: test_FDMDV_modem_octave_c ......................***Failed Required regular expression not found. Regex=[errors......: 0 +] 0.69 sec +bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 +bits_per_fdmdv_frame: 28 bits_per_codec_frame: 56 bytes_per_codec_frame: 7 +-----------------+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+---+ +bits 13664 errors 0 BER 0.0000 +/usr/bin/sh: line 6: octave-cli: command not found + test 36 Start 36: test_OFDM_modem_2020_ldpc @@ -11206,9 +11234,14 @@ ./fsk_put_test_bits - -q" 36: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 36: Test timeout computed to be: 1500 -36: [0043] BER 0.000, bits tested 4300, bit errors 0 -36: PASS - 34/155 Test #36: test_OFDM_modem_2020_ldpc ...................... Passed 0.16 sec +33: ++ grep RMS: +33: ++ tr -s ' ' +33: ++ cut '-d ' -f6 +33: + oct_cpapr= +33: + ch - /dev/null +33: + freedv_data_raw_tx datac0 /dev/zero - --delay 1000 --testframes 10 --bursts 10 --clip 1 --txbpf 1 +33: payload bytes_per_modem_frame: 14 + 33/155 Test #35: test_OFDM_modem_700D_ldpc ...................... Passed 0.07 sec test 37 Start 37: test_OFDM_modem_AWGN_BER @@ -11218,36 +11251,10 @@ ./ofdm_demod --out /dev/null --testframes --ldpc --verbose 1" 37: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 37: Test timeout computed to be: 1500 -19: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] -19: /usr/bin/sh: 1: octave-cli: not found - 35/155 Test #19: test_COHPSK_modem_octave_port ..................***Failed Required regular expression not found. Regex=[fails: 0 -] 1.53 sec - [0] acohpsk.f_est: 1460.000000 +/- 20 - [0] fine freq f: -13.25 max_ratio: 0.911112 ct: 5 - [0] encouraging sync word! - [0] acohpsk.f_est: 1500.000000 +/- 20 - [0] fine freq f: 19.00 max_ratio: 0.893000 ct: 5 - [0] acohpsk.f_est: 1540.000000 +/- 20 - [0] fine freq f: 8.50 max_ratio: 0.935942 ct: 5 - [0] encouraging sync word! - [0] trying sync and f_est: 1531.500000 - [0] fine freq f: 8.50 max_ratio: 0.934018 ct: 5 - [0] encouraging sync word! - [0] Hmm 8.500000 is a bit big :( - [1] acohpsk.f_est: 1460.000000 +/- 20 - [1] fine freq f: -1.50 max_ratio: 0.808931 ct: 5 - [1] acohpsk.f_est: 1500.000000 +/- 20 - [1] fine freq f: -20.00 max_ratio: 0.709257 ct: 5 - [1] acohpsk.f_est: 1540.000000 +/- 20 - [1] fine freq f: -16.75 max_ratio: 0.939264 ct: 4 - [1] encouraging sync word! - [1] trying sync and f_est: 1556.750000 - [1] fine freq f: 2.00 max_ratio: 0.954252 ct: 4 - [1] encouraging sync word! - [1] in sync! f_est: 1556.750000 ratio: 0.954252 - [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] -/usr/bin/sh: 1: octave-cli: not found - +37: ch: Fs: 8000 NodB: -20.00 foff: -50.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +36: [0043] BER 0.000, bits tested 4300, bit errors 0 +36: PASS + 34/155 Test #36: test_OFDM_modem_2020_ldpc ...................... Passed 0.12 sec test 38 Start 38: test_OFDM_modem_fading_BER @@ -11255,7 +11262,6 @@ PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_fade.sh /build/reproducible-path/codec2-1.2.0/Build/unittest" 38: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 38: Test timeout computed to be: 1500 -37: ch: Fs: 8000 NodB: -20.00 foff: -50.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 38: 1 38: ----------------------------------------------------- 38: ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/unittest/fast_fading_samples.float @@ -11269,6 +11275,7 @@ 38: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) 38: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 38: ----------------------------------------------------- +33: mark:space: 0.38 SNR offset: -4.26 38: 1 38: using: HRA_112_112 38: LDPC codeword data bits = 112 @@ -11280,8 +11287,13 @@ 38: BER......: nan Tbits: 0 Terrs: 0 Tpackets: 0 SNR3kdB: nan 38: Coded BER: nan Tbits: 0 Terrs: 0 38: Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 +33: ++ cut '-d ' -f5 +33: ++ tr -s ' ' +33: ++ grep RMS +33: ++ cat /tmp/tmp.LpXBVZ6NBy +33: + ch_rms=6768.93 38: ./ofdm_fade.sh: line 12: python3: command not found - 36/155 Test #38: test_OFDM_modem_fading_BER .....................***Failed 0.16 sec + 35/155 Test #38: test_OFDM_modem_fading_BER .....................***Failed 0.14 sec 1 ----------------------------------------------------- ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/unittest/fast_fading_samples.float @@ -11315,7 +11327,64 @@ PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_phase_est_bw.sh /build/reproducible-path/codec2-1.2.0/Build/unittest" 39: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 39: Test timeout computed to be: 1500 -33: mark:space: 0.38 SNR offset: -4.26 +33: ++ cat /tmp/tmp.LpXBVZ6NBy +33: ++ grep RMS +33: ++ tr -s ' ' +33: ++ cut '-d ' -f7 +33: + ch_cpapr=7.68 +33: + python3 -c 'import sys; sys.exit(0) if abs(( - 6768.93)/) < 0.05 else sys.exit(1)' +33: ./check_comp.sh: line 28: python3: command not found +33: + python3 -c 'import sys; sys.exit(0) if abs(( - 7.68)/) < 0.05 else sys.exit(1)' +33: ./check_comp.sh: line 29: python3: command not found + 36/155 Test #33: test_OFDM_modem_datac0_compression .............***Failed 0.39 sec +++ mktemp ++ octave_log=/tmp/tmp.dJdc79urlb +++ mktemp ++ ch_log=/tmp/tmp.LpXBVZ6NBy ++ echo 'warning ('\''off'\'', '\''Octave:data-file-in-path'\''); + ofdm_ldpc_tx('\''test_datac0.raw'\'','\''datac0'\'',1,100,'\''awgn'\'','\''bursts'\'',10,'\''txclip'\''); + quit' ++ DISPLAY= ++ octave-cli -p /build/reproducible-path/codec2-1.2.0/octave +./check_comp.sh: line 18: octave-cli: command not found +++ cut '-d ' -f4 +++ cat /tmp/tmp.dJdc79urlb +++ grep RMS: +++ tr -s ' ' ++ oct_rms= +++ cat /tmp/tmp.dJdc79urlb +++ grep RMS: +++ tr -s ' ' +++ cut '-d ' -f6 ++ oct_cpapr= ++ ch - /dev/null ++ freedv_data_raw_tx datac0 /dev/zero - --delay 1000 --testframes 10 --bursts 10 --clip 1 --txbpf 1 +payload bytes_per_modem_frame: 14 +mark:space: 0.38 SNR offset: -4.26 +++ cut '-d ' -f5 +++ tr -s ' ' +++ grep RMS +++ cat /tmp/tmp.LpXBVZ6NBy ++ ch_rms=6768.93 +++ cat /tmp/tmp.LpXBVZ6NBy +++ grep RMS +++ tr -s ' ' +++ cut '-d ' -f7 ++ ch_cpapr=7.68 ++ python3 -c 'import sys; sys.exit(0) if abs(( - 6768.93)/) < 0.05 else sys.exit(1)' +./check_comp.sh: line 28: python3: command not found ++ python3 -c 'import sys; sys.exit(0) if abs(( - 7.68)/) < 0.05 else sys.exit(1)' +./check_comp.sh: line 29: python3: command not found + +test 40 + Start 40: test_OFDM_modem_time_sync_700D + +40: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; + PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_time_sync.sh 700D" +40: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +40: Test timeout computed to be: 1500 +19: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] +19: /usr/bin/sh: line 1: octave-cli: command not found 39: ----------------------------------------------------- 39: ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/unittest/fast_fading_samples.float 39: @@ -11328,6 +11397,41 @@ 39: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) 39: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 39: ----------------------------------------------------- + 37/155 Test #19: test_COHPSK_modem_octave_port ..................***Failed Required regular expression not found. Regex=[fails: 0 +] 0.99 sec + [0] acohpsk.f_est: 1460.000000 +/- 20 + [0] fine freq f: -13.25 max_ratio: 0.911112 ct: 5 + [0] encouraging sync word! + [0] acohpsk.f_est: 1500.000000 +/- 20 + [0] fine freq f: 19.00 max_ratio: 0.893000 ct: 5 + [0] acohpsk.f_est: 1540.000000 +/- 20 + [0] fine freq f: 8.50 max_ratio: 0.935942 ct: 5 + [0] encouraging sync word! + [0] trying sync and f_est: 1531.500000 + [0] fine freq f: 8.50 max_ratio: 0.934018 ct: 5 + [0] encouraging sync word! + [0] Hmm 8.500000 is a bit big :( + [1] acohpsk.f_est: 1460.000000 +/- 20 + [1] fine freq f: -1.50 max_ratio: 0.808931 ct: 5 + [1] acohpsk.f_est: 1500.000000 +/- 20 + [1] fine freq f: -20.00 max_ratio: 0.709257 ct: 5 + [1] acohpsk.f_est: 1540.000000 +/- 20 + [1] fine freq f: -16.75 max_ratio: 0.939264 ct: 4 + [1] encouraging sync word! + [1] trying sync and f_est: 1556.750000 + [1] fine freq f: 2.00 max_ratio: 0.954252 ct: 4 + [1] encouraging sync word! + [1] in sync! f_est: 1556.750000 ratio: 0.954252 + [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] +/usr/bin/sh: line 1: octave-cli: command not found + +test 41 + Start 41: test_OFDM_modem_time_sync_2020 + +41: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; + PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_time_sync.sh 2020" +41: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +41: Test timeout computed to be: 1500 39: using: HRAb_396_504 39: LDPC codeword data bits = 396 39: LDPC codeword total bits = 504 @@ -11339,7 +11443,7 @@ 39: Coded BER: nan Tbits: 0 Terrs: 0 39: Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 39: ./ofdm_phase_est_bw.sh: line 24: python3: command not found - 37/155 Test #39: test_OFDM_modem_phase_est_bw ...................***Failed 0.14 sec + 38/155 Test #39: test_OFDM_modem_phase_est_bw ...................***Failed 0.10 sec ----------------------------------------------------- ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/unittest/fast_fading_samples.float @@ -11364,81 +11468,6 @@ Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 ./ofdm_phase_est_bw.sh: line 24: python3: command not found -test 40 - Start 40: test_OFDM_modem_time_sync_700D - -40: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; - PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_time_sync.sh 700D" -40: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -40: Test timeout computed to be: 1500 -33: ++ grep RMS -33: ++ cat /tmp/tmp.SyhQIASS4L -33: ++ tr -s ' ' -33: ++ cut '-d ' -f5 -33: + ch_rms=6768.93 -33: ++ cat /tmp/tmp.SyhQIASS4L -33: ++ grep RMS -33: ++ cut '-d ' -f7 -33: ++ tr -s ' ' -33: + ch_cpapr=7.68 -33: + python3 -c 'import sys; sys.exit(0) if abs(( - 6768.93)/) < 0.05 else sys.exit(1)' -33: ./check_comp.sh: line 28: python3: command not found -33: + python3 -c 'import sys; sys.exit(0) if abs(( - 7.68)/) < 0.05 else sys.exit(1)' -33: ./check_comp.sh: line 29: python3: command not found - 38/155 Test #33: test_OFDM_modem_datac0_compression .............***Failed 0.86 sec -++ mktemp -+ octave_log=/tmp/tmp.gYODXS9WB1 -++ mktemp -+ ch_log=/tmp/tmp.SyhQIASS4L -+ DISPLAY= -+ octave-cli -p /build/reproducible-path/codec2-1.2.0/octave -./check_comp.sh: line 18: octave-cli: command not found -+ echo 'warning ('\''off'\'', '\''Octave:data-file-in-path'\''); - ofdm_ldpc_tx('\''test_datac0.raw'\'','\''datac0'\'',1,100,'\''awgn'\'','\''bursts'\'',10,'\''txclip'\''); - quit' -++ tr -s ' ' -++ grep RMS: -++ cut '-d ' -f4 -++ cat /tmp/tmp.gYODXS9WB1 -+ oct_rms= -++ cat /tmp/tmp.gYODXS9WB1 -++ cut '-d ' -f6 -++ tr -s ' ' -++ grep RMS: -+ oct_cpapr= -+ freedv_data_raw_tx datac0 /dev/zero - --delay 1000 --testframes 10 --bursts 10 --clip 1 --txbpf 1 -payload bytes_per_modem_frame: 14 -+ ch - /dev/null -mark:space: 0.38 SNR offset: -4.26 -++ grep RMS -++ cat /tmp/tmp.SyhQIASS4L -++ tr -s ' ' -++ cut '-d ' -f5 -+ ch_rms=6768.93 -++ cat /tmp/tmp.SyhQIASS4L -++ grep RMS -++ cut '-d ' -f7 -++ tr -s ' ' -+ ch_cpapr=7.68 -+ python3 -c 'import sys; sys.exit(0) if abs(( - 6768.93)/) < 0.05 else sys.exit(1)' -./check_comp.sh: line 28: python3: command not found -+ python3 -c 'import sys; sys.exit(0) if abs(( - 7.68)/) < 0.05 else sys.exit(1)' -./check_comp.sh: line 29: python3: command not found - -test 41 - Start 41: test_OFDM_modem_time_sync_2020 - -41: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; - PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./ofdm_time_sync.sh 2020" -41: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -41: Test timeout computed to be: 1500 -37: ch: SNR3k(dB): 5.84 C/No....: 40.61 -37: ch: peak.....: 16018.58 RMS.....: 10729.24 CPAPR.....: 3.48 -37: ch: Nsamples.: 480000 clipped.: 0.00% OutClipped: 0.00% -37: BER......: 0.0024 Tbits: 83552 Terrs: 199 Tpackets: 373 SNR3kdB: 3.89 -37: Coded BER: 0.0001 Tbits: 41776 Terrs: 3 -37: Coded PER: 0.0054 Tpkts: 373 Tpers: 2 Thruput: 371 - 39/155 Test #37: test_OFDM_modem_AWGN_BER ....................... Passed 1.96 sec test 42 Start 42: test_OFDM_modem_700E_AWGN @@ -11577,17 +11606,17 @@ 42: 119 nin: 640 st: synced euw: 0 0 mf: 0 f: 0.0 pbw: 1 eraw: 4 ecdd: 0 iter: 3 pcc: 56 snr: 4.92 42: 120 nin: 640 st: synced euw: 0 0 mf: 0 f: -0.1 pbw: 1 eraw: 3 ecdd: 0 iter: 2 pcc: 56 snr: 5.82 42: 121 nin: 640 st: synced euw: 1 0 mf: 0 f: -0.0 pbw: 1 eraw: 1 ecdd: 0 iter: 2 pcc: 56 snr: 7.44 -42: ch: SNR3k(dB): 7.78 C/No....: 42.55 -42: ch: peak.....: 16568.05 RMS.....: 10642.04 CPAPR.....: 3.84 -42: ch: Nsamples.: 80000 clipped.: 0.00% OutClipped: 0.00% 42: 122 nin: 640 st: synced euw: 0 0 mf: 0 f: 0.1 pbw: 1 eraw: 3 ecdd: 0 iter: 2 pcc: 56 snr: 3.72 42: 123 nin: 640 st: synced euw: 0 0 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 56 snr: 6.41 -42: 124 nin: 640 st: synced euw: 0 0 mf: 0 f: 0.1 pbw: 1 eraw: 2 ecdd: 0 iter: 3 pcc: 56 snr: 6.11 +42: 124 nin: 640 st: synced ch: SNR3k(dB): 7.78 C/No....: 42.55 +42: ch: peak.....: 16568.05 RMS.....: 10642.04 CPAPR.....: 3.84 +42: ch: Nsamples.: 80000 clipped.: 0.00% OutClipped: 0.00% +42: euw: 0 0 mf: 0 f: 0.1 pbw: 1 eraw: 2 ecdd: 0 iter: 3 pcc: 56 snr: 6.11 42: time_to_sync: -1.000000 42: BER......: 0.0139 Tbits: 13776 Terrs: 191 Tpackets: 123 SNR3kdB: 5.12 42: Coded BER: 0.0000 Tbits: 6888 Terrs: 0 42: Coded PER: 0.0000 Tpkts: 123 Tpers: 0 Thruput: 123 - 40/155 Test #42: test_OFDM_modem_700E_AWGN ...................... Passed 0.45 sec + 39/155 Test #42: test_OFDM_modem_700E_AWGN ...................... Passed 0.23 sec test 43 Start 43: test_OFDM_modem_2020B_AWGN @@ -11674,7 +11703,10 @@ 43: 67 nin: 720 st: synced euw: 1 0 mf: 0 f: 0.0 pbw: 1 eraw: 17 ecdd: 0 iter: 6 pcc: 56 snr: 4.77 43: 68 nin: 720 st: synced euw: 0 0 mf: 0 f: -0.1 pbw: 1 eraw: 12 ecdd: 0 iter: 100 pcc: 55 snr: 3.51 43: 69 nin: 720 st: synced euw: 2 0 mf: 0 f: 0.0 pbw: 1 eraw: 8 ecdd: 0 iter: 3 pcc: 56 snr: 2.26 -43: 70 nin: 720 st: synced euw: 2 0 mf: 0 f: 0.1 pbw: 1 eraw: 16 ecdd: 0 iter: 5 pcc: 56 snr: 3.75 +43: 70 nin: 720 st: synced ch: SNR3k(dB): 4.51 C/No....: 39.28 +43: ch: peak.....: 16420.88 RMS.....: 10325.23 CPAPR.....: 4.03 +43: ch: Nsamples.: 79840 clipped.: 0.00% OutClipped: 0.00% +43: euw: 2 0 mf: 0 f: 0.1 pbw: 1 eraw: 16 ecdd: 0 iter: 5 pcc: 56 snr: 3.75 43: 71 nin: 720 st: synced euw: 2 0 mf: 0 f: 0.1 pbw: 1 eraw: 14 ecdd: 0 iter: 100 pcc: 55 snr: 4.63 43: 72 nin: 720 st: synced euw: 1 0 mf: 0 f: 0.0 pbw: 1 eraw: 7 ecdd: 0 iter: 3 pcc: 56 snr: 4.72 43: 73 nin: 720 st: synced euw: 0 0 mf: 0 f: 0.0 pbw: 1 eraw: 11 ecdd: 0 iter: 4 pcc: 56 snr: 3.21 @@ -11713,16 +11745,13 @@ 43: 106 nin: 720 st: synced euw: 1 0 mf: 0 f: -0.0 pbw: 1 eraw: 17 ecdd: 0 iter: 4 pcc: 56 snr: 2.91 43: 107 nin: 720 st: synced euw: 0 0 mf: 0 f: -0.0 pbw: 1 eraw: 12 ecdd: 0 iter: 5 pcc: 56 snr: 3.41 43: 108 nin: 720 st: synced euw: 0 0 mf: 0 f: 0.1 pbw: 1 eraw: 6 ecdd: 0 iter: 3 pcc: 56 snr: 3.73 -43: ch: SNR3k(dB): 4.51 C/No....: 39.28 -43: ch: peak.....: 16420.88 RMS.....: 10325.23 CPAPR.....: 4.03 -43: ch: Nsamples.: 79840 clipped.: 0.00% OutClipped: 0.00% 43: 109 nin: 720 st: synced euw: 1 0 mf: 0 f: 0.1 pbw: 1 eraw: 12 ecdd: 0 iter: 3 pcc: 56 snr: 2.74 43: 110 nin: 720 st: synced euw: 2 0 mf: 0 f: -0.0 pbw: 1 eraw: 9 ecdd: 0 iter: 3 pcc: 56 snr: 4.92 43: time_to_sync: -1.000000 43: BER......: 0.0602 Tbits: 23108 Terrs: 1391 Tpackets: 109 SNR3kdB: 3.41 43: Coded BER: 0.0028 Tbits: 3597 Terrs: 10 43: Coded PER: 0.0367 Tpkts: 109 Tpers: 4 Thruput: 105 - 41/155 Test #43: test_OFDM_modem_2020B_AWGN ..................... Passed 0.54 sec + 40/155 Test #43: test_OFDM_modem_2020B_AWGN ..................... Passed 0.29 sec test 44 Start 44: test_OFDM_modem_datac0_octave_burst @@ -11734,18 +11763,18 @@ 44: Environment variables: 44: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 44: Test timeout computed to be: 1500 -44: /usr/bin/sh: 2: octave-cli: not found -44: burst data mode! +44: /usr/bin/sh: line 2: octave-cli: command not found 44: cat: test.raw: No such file or directory +44: burst data mode! 44: BER......: nan Tbits: 0 Terrs: 0 Tpackets: 0 SNR3kdB: nan 44: Coded BER: nan Tbits: 0 Terrs: 0 44: Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 44: Npre.....: 0 Npost: 0 uw_fails: 0 - 42/155 Test #44: test_OFDM_modem_datac0_octave_burst ............***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Tpkts: 3 + 41/155 Test #44: test_OFDM_modem_datac0_octave_burst ............***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Tpkts: 3 ] 0.03 sec -/usr/bin/sh: 2: octave-cli: not found -burst data mode! +/usr/bin/sh: line 2: octave-cli: command not found cat: test.raw: No such file or directory +burst data mode! BER......: nan Tbits: 0 Terrs: 0 Tpackets: 0 SNR3kdB: nan Coded BER: nan Tbits: 0 Terrs: 0 Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 @@ -11764,13 +11793,13 @@ 45: Test timeout computed to be: 1500 45: Ndatabitsperpacket: 4096 Npayloadbitsperpacket: 8192 Nsamperpacket: 33440 45: Npackets: 5 -45: /usr/bin/sh: 4: octave-cli: not found - 43/155 Test #45: test_OFDM_modem_datac1_octave ..................***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Pckts: 4 -] 0.48 sec -Ndatabitsperpacket: 4096 Npayloadbitsperpacket: 8192 Nsamperpacket: 33440 -Npackets: 5 -/usr/bin/sh: 4: octave-cli: not found - +37: ch: SNR3k(dB): 5.84 C/No....: 40.61 +37: ch: peak.....: 16018.58 RMS.....: 10729.24 CPAPR.....: 3.48 +37: ch: Nsamples.: 480000 clipped.: 0.00% OutClipped: 0.00% +37: BER......: 0.0024 Tbits: 83552 Terrs: 199 Tpackets: 373 SNR3kdB: 3.89 +37: Coded BER: 0.0001 Tbits: 41776 Terrs: 3 +37: Coded PER: 0.0054 Tpkts: 373 Tpers: 2 Thruput: 371 + 42/155 Test #37: test_OFDM_modem_AWGN_BER ....................... Passed 1.02 sec test 46 Start 46: test_OFDM_modem_datac3_octave @@ -11784,12 +11813,12 @@ 46: Test timeout computed to be: 1500 46: Ndatabitsperpacket: 1024 Npayloadbitsperpacket: 2048 Nsamperpacket: 25520 46: Npackets: 6 -46: /usr/bin/sh: 4: octave-cli: not found - 44/155 Test #46: test_OFDM_modem_datac3_octave ..................***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Pckts: 5 -] 0.46 sec -Ndatabitsperpacket: 1024 Npayloadbitsperpacket: 2048 Nsamperpacket: 25520 -Npackets: 6 -/usr/bin/sh: 4: octave-cli: not found +45: /usr/bin/sh: line 4: octave-cli: command not found + 43/155 Test #45: test_OFDM_modem_datac1_octave ..................***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Pckts: 4 +] 0.21 sec +Ndatabitsperpacket: 4096 Npayloadbitsperpacket: 8192 Nsamperpacket: 33440 +Npackets: 5 +/usr/bin/sh: line 4: octave-cli: command not found test 47 Start 47: test_OFDM_modem_datac1 @@ -11801,9 +11830,13 @@ 47: Test timeout computed to be: 1500 47: Ndatabitsperpacket: 8192 Npayloadbitsperpacket: 8192 Nsamperpacket: 33440 47: Npackets: 2 -47: BER......: 0.0000 Tbits: 8208 Terrs: 0 Tpackets: 1 SNR3kdB: 13.09 -47: Npre.....: 0 Npost: 0 uw_fails: 0 - 45/155 Test #47: test_OFDM_modem_datac1 ......................... Passed 0.31 sec +46: /usr/bin/sh: line 4: octave-cli: command not found + 44/155 Test #46: test_OFDM_modem_datac3_octave ..................***Failed Required regular expression not found. Regex=[Coded PER: 0.0000 Pckts: 5 +] 0.16 sec +Ndatabitsperpacket: 1024 Npayloadbitsperpacket: 2048 Nsamperpacket: 25520 +Npackets: 6 +/usr/bin/sh: line 4: octave-cli: command not found + test 48 Start 48: test_OFDM_modem_datac1_ldpc @@ -11814,11 +11847,9 @@ 48: Test timeout computed to be: 1500 48: Ndatabitsperpacket: 4096 Npayloadbitsperpacket: 8192 Nsamperpacket: 33440 48: Npackets: 2 -48: BER......: 0.0000 Tbits: 8192 Terrs: 0 Tpackets: 1 SNR3kdB: 12.88 -48: Coded BER: 0.0000 Tbits: 4096 Terrs: 0 -48: Coded PER: 0.0000 Tpkts: 1 Tpers: 0 Thruput: 1 -48: Npre.....: 0 Npost: 0 uw_fails: 0 - 46/155 Test #48: test_OFDM_modem_datac1_ldpc .................... Passed 0.35 sec +47: BER......: 0.0000 Tbits: 8208 Terrs: 0 Tpackets: 1 SNR3kdB: 13.09 +47: Npre.....: 0 Npost: 0 uw_fails: 0 + 45/155 Test #47: test_OFDM_modem_datac1 ......................... Passed 0.17 sec test 49 Start 49: test_OFDM_modem_datac0_ldpc_burst @@ -11828,7 +11859,10 @@ ./ofdm_demod --mode datac0 --out /dev/null --testframes --ldpc --verbose 2 --packetsperburst 1" 49: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 49: Test timeout computed to be: 1500 -49: ch: Fs: 8000 NodB: -17.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +49: bursts: 3 +49: Ndatabitsperpacket: 128 Npayloadbitsperpacket: 256 Nsamperpacket: 3520 +49: Npackets: 1 +49: Tx preamble 49: burst data mode! 49: using: H_128_256_5 49: LDPC codeword data bits = 128 @@ -11836,36 +11870,17 @@ 49: LDPC codeword data bits used = 128 49: LDPC codeword total length in modem packet = 256 49: Warning EsNo: 3.000000 hard coded -49: bursts: 3 -49: Ndatabitsperpacket: 128 Npayloadbitsperpacket: 256 Nsamperpacket: 3520 -49: Npackets: 1 +49: Tx postamble 49: Tx preamble +49: ch: Fs: 8000 NodB: -17.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 49: Tx postamble 49: Tx preamble 49: 0 nin: 880 st: search Tx postamble -49: Tx preamble -49: Tx postamble -49: ct_est: 860 nin: 880 mx: 0.04 foff_est: -44.0 timing_valid: 0 post -49: 1 nin: 880 st: search ct_est: 579 nin: 1458 mx: 0.35 foff_est: 0.0 timing_valid: 1 pre -49: 2 nin: 1458 st: trial euw: 23 1 mf: 0 f: -0.1 pbw: 1 -49: 3 nin: 880 st: trial euw: 18 2 mf: 0 f: -0.0 pbw: 1 -49: 4 nin: 880 st: trial euw: 0 3 mf: 3 f: -0.1 pbw: 1 -49: 5 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 eraw: 2 ecdd: 0 iter: 2 pcc: 128 snr: 3.53 -49: 6 nin: 880 st: search ct_est: 815 nin: 880 mx: 0.01 foff_est: 48.0 timing_valid: 0 pre -49: 7 nin: 880 st: search ct_est: 645 nin: 880 mx: 0.02 foff_est: -32.0 timing_valid: 0 pre -49: 8 nin: 880 st: search ct_est: 579 nin: 880 mx: 0.02 foff_est: -34.0 timing_valid: 0 post -49: 9 nin: 880 st: search ct_est: 470 nin: 880 mx: 0.02 foff_est: 26.0 timing_valid: 0 pre -49: 10 nin: 880 st: search ct_est: 609 nin: 880 mx: 0.02 foff_est: -46.0 timing_valid: 0 pre -49: 11 nin: 880 st: search ch: SNR3k(dB): -0.95 C/No....: 33.83 -49: ch: peak.....: 16345.74 RMS.....: 6935.29 CPAPR.....: 7.45 -49: ch: Nsamples.: 39840 clipped.: 0.00% OutClipped: 0.00% -49: ct_est: 559 nin: 880 mx: 0.02 foff_est: 26.0 timing_valid: 0 pre -49: 12 nin: 880 st: search ct_est: 236 nin: 880 mx: 0.02 foff_est: 29.0 timing_valid: 0 post -49: 13 nin: 880 st: search ct_est: 230 nin: 880 mx: 0.02 foff_est: 50.0 timing_valid: 0 post -40: ./ofdm_time_sync.sh: line 24: python3: command not found - 47/155 Test #40: test_OFDM_modem_time_sync_700D .................***Failed 6.33 sec -./ofdm_time_sync.sh: line 24: python3: command not found - +48: BER......: 0.0000 Tbits: 8192 Terrs: 0 Tpackets: 1 SNR3kdB: 12.88 +48: Coded BER: 0.0000 Tbits: 4096 Terrs: 0 +48: Coded PER: 0.0000 Tpkts: 1 Tpers: 0 Thruput: 1 +48: Npre.....: 0 Npost: 0 uw_fails: 0 + 46/155 Test #48: test_OFDM_modem_datac1_ldpc .................... Passed 0.21 sec test 50 Start 50: test_OFDM_modem_datac4_octave @@ -11881,12 +11896,37 @@ 50: Ndatabitsperpacket: 448 Npayloadbitsperpacket: 1472 Nsamperpacket: 41360 50: Npackets: 1 50: Tx preamble -49: 14 nin: 880 st: search ct_est: 324 nin: 880 mx: 0.02 foff_est: -27.0 timing_valid: 0 post +49: ct_est: 860 nin: 880 mx: 0.04 foff_est: -44.0 timing_valid: 0 post 50: Tx postamble 50: Tx preamble -41: ./ofdm_time_sync.sh: line 24: python3: command not found - 48/155 Test #41: test_OFDM_modem_time_sync_2020 .................***Failed 6.46 sec -./ofdm_time_sync.sh: line 24: python3: command not found +50: Tx postamble +50: Tx preamble +49: 1 nin: 880 st: search ct_est: 579 nin: 1458 mx: 0.35 foff_est: 0.0 timing_valid: 1 pre +49: 2 nin: 1458 st: trial euw: 23 1 mf: 0 f: -0.1 pbw: 1 +49: 3 nin: 880 st: trial euw: 18 2 mf: 0 f: -0.0 pbw: 1 +49: 4 nin: 880 st: trial euw: 0 3 mf: 3 f: -0.1 pbw: 1 +49: 5 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 eraw: 2 ecdd: 0 iter: 2 pcc: 128 snr: 3.53 +50: Tx postamble +50: Tx preamble +50: Tx postamble +50: Tx preamble +50: Tx postamble +50: /usr/bin/sh: line 4: octave-cli: command not found + 47/155 Test #50: test_OFDM_modem_datac4_octave ..................***Failed 0.21 sec +bursts: 5 +Ndatabitsperpacket: 448 Npayloadbitsperpacket: 1472 Nsamperpacket: 41360 +Npackets: 1 +Tx preamble +Tx postamble +Tx preamble +Tx postamble +Tx preamble +Tx postamble +Tx preamble +Tx postamble +Tx preamble +Tx postamble +/usr/bin/sh: line 4: octave-cli: command not found test 51 Start 51: test_OFDM_modem_datac13_octave @@ -11899,7 +11939,8 @@ 51: Environment variables: 51: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 51: Test timeout computed to be: 1500 -51: /usr/bin/sh: 2: octave-cli: not found +49: 6 nin: 880 st: search ct_est: 815 nin: 880 mx: 0.01 foff_est: 48.0 timing_valid: 0 pre +51: /usr/bin/sh: line 2: octave-cli: command not found 51: burst data mode! 51: using: H_256_512_4 51: LDPC codeword data bits = 256 @@ -11912,8 +11953,8 @@ 51: Coded BER: nan Tbits: 0 Terrs: 0 51: Coded PER: nan Tpkts: 0 Tpers: 0 Thruput: 0 51: Npre.....: 0 Npost: 0 uw_fails: 0 - 49/155 Test #51: test_OFDM_modem_datac13_octave .................***Failed 0.03 sec -/usr/bin/sh: 2: octave-cli: not found + 48/155 Test #51: test_OFDM_modem_datac13_octave .................***Failed 0.03 sec +/usr/bin/sh: line 2: octave-cli: command not found burst data mode! using: H_256_512_4 LDPC codeword data bits = 256 @@ -11936,76 +11977,27 @@ ./ofdm_demod --mode datac4 --out /dev/null --testframes --ldpc --verbose 2 --packetsperburst 1" 52: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 52: Test timeout computed to be: 1500 -50: Tx postamble -50: Tx preamble 52: burst data mode! -52: bursts: 10 -52: Ndatabitsperpacket: 448 Npayloadbitsperpacket: 1472 Nsamperpacket: 41360 -52: Npackets: 1 52: using: H_1024_2048_4f 52: LDPC codeword data bits = 1024 52: LDPC codeword total bits = 2048 52: LDPC codeword data bits used = 448 52: LDPC codeword total length in modem packet = 1472 52: Warning EsNo: 3.000000 hard coded -52: Tx preamble +52: bursts: 10 52: ch: Fs: 8000 NodB: -17.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 -50: Tx postamble -50: Tx preamble +52: Ndatabitsperpacket: 448 Npayloadbitsperpacket: 1472 Nsamperpacket: 41360 +52: Npackets: 1 +52: Tx preamble 52: 0 nin: 880 st: search Tx postamble -49: 15 nin: 880 st: search ct_est: 609 nin: 880 mx: 0.06 foff_est: 3.0 timing_valid: 0 pre +49: 7 nin: 880 st: search ct_est: 645 nin: 880 mx: 0.02 foff_est: -32.0 timing_valid: 0 pre 52: Tx preamble -50: Tx postamble -50: Tx preamble -50: Tx postamble +49: 8 nin: 880 st: search ct_est: 579 nin: 880 mx: 0.02 foff_est: -34.0 timing_valid: 0 post 52: ct_est: 853 nin: 880 mx: 0.14 foff_est: -10.0 timing_valid: 0 post -50: /usr/bin/sh: 4: octave-cli: not found - 50/155 Test #50: test_OFDM_modem_datac4_octave ..................***Failed 0.73 sec -bursts: 5 -Ndatabitsperpacket: 448 Npayloadbitsperpacket: 1472 Nsamperpacket: 41360 -Npackets: 1 -Tx preamble -Tx postamble -Tx preamble -Tx postamble -Tx preamble -Tx postamble -Tx preamble -Tx postamble -Tx preamble -Tx postamble -/usr/bin/sh: 4: octave-cli: not found - -test 53 - Start 53: test_OFDM_modem_datac13_ldpc_burst - -53: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ofdm_mod --mode datac13 --in /dev/zero --testframes 1 --verbose 1 --ldpc --bursts 10 | - ./ch - - --No -17 | - ./ofdm_demod --mode datac13 --out /dev/null --testframes --ldpc --verbose 2 --packetsperburst 1" -53: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -53: Test timeout computed to be: 1500 -53: ch: Fs: 8000 NodB: -17.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 -53: burst data mode! -53: using: H_256_512_4 -53: LDPC codeword data bits = 256 -53: LDPC codeword total bits = 512 -53: LDPC codeword data bits used = 128 -53: LDPC codeword total length in modem packet = 384 -53: Warning EsNo: 3.000000 hard coded -53: bursts: 10 -53: Ndatabitsperpacket: 128 Npayloadbitsperpacket: 384 Nsamperpacket: 15840 -53: Npackets: 1 -53: Tx preamble -53: Tx postamble -53: Tx preamble -49: 16 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.34 foff_est: 0.0 timing_valid: 1 pre -49: 17 nin: 960 st: trial euw: 17 1 mf: 0 f: 0.1 pbw: 1 -49: 18 nin: 880 st: trial euw: 18 2 mf: 0 f: 0.1 pbw: 1 -49: 19 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.1 pbw: 1 -49: 20 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.1 pbw: 1 eraw: 1 ecdd: 0 iter: 2 pcc: 128 snr: 2.02 -53: 0 nin: 880 st: search Tx postamble -53: Tx preamble +49: 9 nin: 880 st: search ct_est: 470 nin: 880 mx: 0.02 foff_est: 26.0 timing_valid: 0 pre +49: 10 nin: 880 st: search ch: SNR3k(dB): -0.95 C/No....: 33.83 +49: ch: peak.....: 16345.74 RMS.....: 6935.29 CPAPR.....: 7.45 +49: ch: Nsamples.: 39840 clipped.: 0.00% OutClipped: 0.00% 52: 1 nin: 880 st: search ct_est: 629 nin: 1508 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre 52: 2 nin: 1508 st: trial euw: 15 1 mf: 0 f: 0.0 pbw: 1 52: 3 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 @@ -12013,7 +12005,6 @@ 52: 5 nin: 880 st: synced euw: 19 3 mf: 4 f: 0.0 pbw: 1 52: 6 nin: 880 st: synced euw: 18 3 mf: 5 f: -0.0 pbw: 1 52: 7 nin: 880 st: synced euw: 20 3 mf: 6 f: 0.0 pbw: 1 -53: ct_est: 876 nin: 880 mx: 0.19 foff_est: 7.0 timing_valid: 0 pre 52: 8 nin: 880 st: synced euw: 17 3 mf: 7 f: -0.0 pbw: 1 52: 9 nin: 880 st: synced euw: 12 3 mf: 8 f: 0.0 pbw: 1 52: 10 nin: 880 st: synced euw: 16 3 mf: 9 f: -0.0 pbw: 1 @@ -12022,7 +12013,6 @@ 52: 13 nin: 880 st: synced euw: 15 3 mf: 12 f: 0.0 pbw: 1 52: 14 nin: 880 st: synced euw: 17 3 mf: 13 f: 0.0 pbw: 1 52: 15 nin: 880 st: synced euw: 20 3 mf: 14 f: -0.0 pbw: 1 -49: 21 nin: 880 st: search ct_est: 786 nin: 880 mx: 0.02 foff_est: 14.0 timing_valid: 0 post 52: 16 nin: 880 st: synced euw: 17 3 mf: 15 f: 0.0 pbw: 1 52: 17 nin: 880 st: synced euw: 17 3 mf: 16 f: 0.0 pbw: 1 52: 18 nin: 880 st: synced euw: 17 3 mf: 17 f: 0.0 pbw: 1 @@ -12030,6 +12020,7 @@ 52: 20 nin: 880 st: synced euw: 18 3 mf: 19 f: 0.0 pbw: 1 52: 21 nin: 880 st: synced euw: 16 3 mf: 20 f: 0.0 pbw: 1 52: 22 nin: 880 st: synced euw: 11 3 mf: 21 f: -0.1 pbw: 1 +49: ct_est: 609 nin: 880 mx: 0.02 foff_est: -46.0 timing_valid: 0 pre 52: 23 nin: 880 st: synced euw: 15 3 mf: 22 f: 0.0 pbw: 1 52: 24 nin: 880 st: synced euw: 13 3 mf: 23 f: 0.0 pbw: 1 52: 25 nin: 880 st: synced euw: 15 3 mf: 24 f: -0.0 pbw: 1 @@ -12055,64 +12046,32 @@ 52: 45 nin: 880 st: synced euw: 12 3 mf: 44 f: 0.0 pbw: 1 52: 46 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 47 nin: 880 st: synced euw: 14 3 mf: 46 f: 0.0 pbw: 1 -52: 48 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.56 -49: 22 nin: 880 st: search ct_est: 614 nin: 880 mx: 0.02 foff_est: -29.0 timing_valid: 0 post -52: 49 nin: 880 st: search Tx postamble -52: Tx preamble -49: 23 nin: 880 st: search ct_est: 476 nin: 880 mx: 0.02 foff_est: -4.0 timing_valid: 0 post -53: 1 nin: 880 st: search ct_est: 630 nin: 1509 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre -53: 2 nin: 1509 st: trial euw: 23 1 mf: 0 f: 0.0 pbw: 1 -53: 3 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 -53: 4 nin: 880 st: trial euw: 11 3 mf: 0 f: -0.0 pbw: 1 -53: 5 nin: 880 st: trial euw: 29 4 mf: 0 f: 0.0 pbw: 1 -53: 6 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 -53: 7 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 -53: 8 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 9 nin: 880 st: synced Tx postamble -53: euw: 24 5 mf: 8 f: -0.0 pbw: 1 -53: 10 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 -53: 11 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -53: 12 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 -53: 13 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 -53: 14 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 -53: 15 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 -53: 16 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 17 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 18 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 -53: 19 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.73 -53: 20 nin: 880 st: search Tx preamble +52: 48 nin: 880 st: synced Tx postamble +52: euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.56 +52: 49 nin: 880 st: search Tx preamble 52: ct_est: 853 nin: 880 mx: 0.13 foff_est: -10.0 timing_valid: 0 pre -49: 24 nin: 880 st: search ct_est: 804 nin: 880 mx: 0.02 foff_est: -1.0 timing_valid: 0 post +49: 11 nin: 880 st: search ct_est: 559 nin: 880 mx: 0.02 foff_est: 26.0 timing_valid: 0 pre 52: 50 nin: 880 st: search ct_est: 506 nin: 880 mx: 0.21 foff_est: -1.0 timing_valid: 0 pre -53: ct_est: 662 nin: 880 mx: 0.18 foff_est: -47.0 timing_valid: 0 pre -49: 25 nin: 880 st: search ct_est: 861 nin: 880 mx: 0.02 foff_est: -40.0 timing_valid: 0 pre +49: 12 nin: 880 st: search ct_est: 236 nin: 880 mx: 0.02 foff_est: 29.0 timing_valid: 0 post 52: 51 nin: 880 st: search ct_est: 569 nin: 880 mx: 0.22 foff_est: -32.0 timing_valid: 0 post -53: 21 nin: 880 st: search ct_est: 293 nin: 880 mx: 0.21 foff_est: -6.0 timing_valid: 0 pre -49: 26 nin: 880 st: search ct_est: 289 nin: 880 mx: 0.02 foff_est: 19.0 timing_valid: 0 pre -53: 22 nin: 880 st: search ct_est: 694 nin: 880 mx: 0.18 foff_est: -22.0 timing_valid: 0 pre 52: 52 nin: 880 st: search ct_est: 225 nin: 880 mx: 0.19 foff_est: -45.0 timing_valid: 0 post -53: 23 nin: 880 st: search ct_est: 105 nin: 880 mx: 0.21 foff_est: 42.0 timing_valid: 0 post -49: 27 nin: 880 st: search ct_est: 405 nin: 880 mx: 0.02 foff_est: -25.0 timing_valid: 0 pre +49: 13 nin: 880 st: search ct_est: 230 nin: 880 mx: 0.02 foff_est: 50.0 timing_valid: 0 post 52: 53 nin: 880 st: search ct_est: 745 nin: 880 mx: 0.20 foff_est: 12.0 timing_valid: 0 pre -53: 24 nin: 880 st: search ct_est: 451 nin: 880 mx: 0.19 foff_est: -15.0 timing_valid: 0 pre -49: 28 nin: 880 st: search ct_est: 793 nin: 880 mx: 0.02 foff_est: -44.0 timing_valid: 0 post +49: 14 nin: 880 st: search ct_est: 324 nin: 880 mx: 0.02 foff_est: -27.0 timing_valid: 0 post 52: 54 nin: 880 st: search ct_est: 833 nin: 880 mx: 0.21 foff_est: -40.0 timing_valid: 0 post -49: 29 nin: 880 st: search ct_est: 212 nin: 880 mx: 0.02 foff_est: 30.0 timing_valid: 0 pre -53: 25 nin: 880 st: search ct_est: 766 nin: 880 mx: 0.23 foff_est: 39.0 timing_valid: 0 pre +49: 15 nin: 880 st: search ct_est: 609 nin: 880 mx: 0.06 foff_est: 3.0 timing_valid: 0 pre 52: 55 nin: 880 st: search ct_est: 98 nin: 880 mx: 0.21 foff_est: -41.0 timing_valid: 0 post -49: 30 nin: 880 st: search ct_est: 710 nin: 880 mx: 0.05 foff_est: -34.0 timing_valid: 0 post -53: 26 nin: 880 st: search ct_est: 54 nin: 880 mx: 0.18 foff_est: 26.0 timing_valid: 0 post +49: 16 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.34 foff_est: 0.0 timing_valid: 1 pre +49: 17 nin: 960 st: trial euw: 17 1 mf: 0 f: 0.1 pbw: 1 +49: 18 nin: 880 st: trial euw: 18 2 mf: 0 f: 0.1 pbw: 1 +49: 19 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.1 pbw: 1 +49: 20 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.1 pbw: 1 eraw: 1 ecdd: 0 iter: 2 pcc: 128 snr: 2.02 52: 56 nin: 880 st: search ct_est: 316 nin: 880 mx: 0.19 foff_est: 6.0 timing_valid: 0 post -49: 31 nin: 880 st: search ct_est: 82 nin: 961 mx: 0.33 foff_est: 0.0 timing_valid: 1 pre -49: 32 nin: 961 st: trial euw: 17 1 mf: 0 f: 0.1 pbw: 1 -49: 33 nin: 880 st: trial euw: 18 2 mf: 0 f: 0.1 pbw: 1 -49: 34 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.0 pbw: 1 -49: 35 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.1 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 snr: 1.80 -53: 27 nin: 880 st: search ct_est: 220 nin: 880 mx: 0.22 foff_est: -30.0 timing_valid: 0 post +49: 21 nin: 880 st: search ct_est: 786 nin: 880 mx: 0.02 foff_est: 14.0 timing_valid: 0 post 52: 57 nin: 880 st: search ct_est: 200 nin: 880 mx: 0.17 foff_est: -22.0 timing_valid: 0 pre +49: 22 nin: 880 st: search ct_est: 614 nin: 880 mx: 0.02 foff_est: -29.0 timing_valid: 0 post 52: 58 nin: 880 st: search ct_est: 830 nin: 880 mx: 0.17 foff_est: -20.0 timing_valid: 0 pre -49: 36 nin: 880 st: search ct_est: 684 nin: 880 mx: 0.01 foff_est: 3.0 timing_valid: 0 pre -53: 28 nin: 880 st: search ct_est: 127 nin: 880 mx: 0.22 foff_est: -30.0 timing_valid: 0 pre +49: 23 nin: 880 st: search ct_est: 476 nin: 880 mx: 0.02 foff_est: -4.0 timing_valid: 0 post 52: 59 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre 52: 60 nin: 960 st: trial euw: 12 1 mf: 0 f: -0.0 pbw: 1 52: 61 nin: 880 st: trial euw: 14 2 mf: 0 f: -0.0 pbw: 1 @@ -12144,66 +12103,109 @@ 52: 87 nin: 880 st: synced euw: 17 3 mf: 28 f: -0.0 pbw: 1 52: 88 nin: 880 st: synced euw: 15 3 mf: 29 f: 0.0 pbw: 1 52: 89 nin: 880 st: synced euw: 18 3 mf: 30 f: 0.1 pbw: 1 +49: 24 nin: 880 st: search ct_est: 804 nin: 880 mx: 0.02 foff_est: -1.0 timing_valid: 0 post 52: 90 nin: 880 st: synced euw: 18 3 mf: 31 f: -0.0 pbw: 1 52: 91 nin: 880 st: synced euw: 15 3 mf: 32 f: 0.0 pbw: 1 52: 92 nin: 880 st: synced euw: 19 3 mf: 33 f: 0.0 pbw: 1 52: 93 nin: 880 st: synced euw: 16 3 mf: 34 f: -0.0 pbw: 1 52: 94 nin: 880 st: synced euw: 15 3 mf: 35 f: -0.0 pbw: 1 -52: 95 nin: 880 st: synced euw: 12 3 mf: 36 f: 0.0 pbw: 1 +52: 95 nin: 880 st: synced Tx postamble +52: euw: 12 3 mf: 36 f: 0.0 pbw: 1 52: 96 nin: 880 st: synced euw: 21 3 mf: 37 f: -0.0 pbw: 1 52: 97 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 52: 98 nin: 880 st: synced euw: 13 3 mf: 39 f: 0.0 pbw: 1 52: 99 nin: 880 st: synced euw: 15 3 mf: 40 f: -0.0 pbw: 1 52: 100 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 52: 101 nin: 880 st: synced euw: 12 3 mf: 42 f: -0.0 pbw: 1 -52: Tx postamble 52: 102 nin: 880 st: synced euw: 11 3 mf: 43 f: 0.0 pbw: 1 52: 103 nin: 880 st: synced euw: 12 3 mf: 44 f: 0.0 pbw: 1 52: 104 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 105 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 -52: 106 nin: 880 st: synced Tx preamble -52: euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.46 -53: 29 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.34 foff_est: 3.0 timing_valid: 0 post -49: 37 nin: 880 st: search ct_est: 521 nin: 880 mx: 0.02 foff_est: -20.0 timing_valid: 0 pre -52: 107 nin: 880 st: search ct_est: 876 nin: 880 mx: 0.14 foff_est: -26.0 timing_valid: 0 pre -49: 38 nin: 880 st: search ct_est: 807 nin: 880 mx: 0.02 foff_est: 29.0 timing_valid: 0 pre -53: 30 nin: 880 st: search ct_est: 80 nin: 959 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre -53: 31 nin: 959 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 -53: 32 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 -53: 33 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 -53: 34 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 -53: 35 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 -53: 36 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 -53: 37 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 38 nin: 880 st: synced Tx postamble +52: 106 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.46 +52: 107 nin: 880 st: search Tx preamble +49: 25 nin: 880 st: search ct_est: 861 nin: 880 mx: 0.02 foff_est: -40.0 timing_valid: 0 pre +52: ct_est: 876 nin: 880 mx: 0.14 foff_est: -26.0 timing_valid: 0 pre +49: 26 nin: 880 st: search ct_est: 289 nin: 880 mx: 0.02 foff_est: 19.0 timing_valid: 0 pre 52: 108 nin: 880 st: search ct_est: 660 nin: 880 mx: 0.18 foff_est: 49.0 timing_valid: 0 pre -53: euw: 24 5 mf: 8 f: -0.0 pbw: 1 -53: 39 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 -49: 39 nin: 880 st: search ct_est: 206 nin: 880 mx: 0.02 foff_est: 49.0 timing_valid: 0 post -53: 40 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -53: 41 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 -53: 42 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 -53: 43 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 44 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 -53: 45 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.1 pbw: 1 -53: 46 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 47 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 -53: 48 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.48 -53: 49 nin: 880 st: search Tx preamble +49: 27 nin: 880 st: search ct_est: 405 nin: 880 mx: 0.02 foff_est: -25.0 timing_valid: 0 pre 52: 109 nin: 880 st: search ct_est: 552 nin: 880 mx: 0.22 foff_est: -25.0 timing_valid: 0 post -49: 40 nin: 880 st: search ct_est: 21 nin: 880 mx: 0.02 foff_est: -51.0 timing_valid: 0 pre -49: 41 nin: 880 st: search ct_est: 359 nin: 880 mx: 0.02 foff_est: 30.0 timing_valid: 0 post -53: ct_est: 867 nin: 880 mx: 0.14 foff_est: -38.0 timing_valid: 0 post +49: 28 nin: 880 st: search ct_est: 793 nin: 880 mx: 0.02 foff_est: -44.0 timing_valid: 0 post +40: ./ofdm_time_sync.sh: line 24: python3: command not found + 49/155 Test #40: test_OFDM_modem_time_sync_700D .................***Failed 3.29 sec +./ofdm_time_sync.sh: line 24: python3: command not found + +test 53 + Start 53: test_OFDM_modem_datac13_ldpc_burst + +53: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ofdm_mod --mode datac13 --in /dev/zero --testframes 1 --verbose 1 --ldpc --bursts 10 | + ./ch - - --No -17 | + ./ofdm_demod --mode datac13 --out /dev/null --testframes --ldpc --verbose 2 --packetsperburst 1" +53: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +53: Test timeout computed to be: 1500 52: 110 nin: 880 st: search ct_est: 99 nin: 880 mx: 0.25 foff_est: 11.0 timing_valid: 0 pre -49: 42 nin: 880 st: search ct_est: 808 nin: 880 mx: 0.02 foff_est: 40.0 timing_valid: 0 pre -53: 50 nin: 880 st: search ct_est: 848 nin: 880 mx: 0.18 foff_est: 15.0 timing_valid: 0 post +53: ch: Fs: 8000 NodB: -17.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +53: bursts: 10 +53: Ndatabitsperpacket: 128 Npayloadbitsperpacket: 384 Nsamperpacket: 15840 +53: Npackets: 1 +53: Tx preamble +53: burst data mode! +53: using: H_256_512_4 +53: LDPC codeword data bits = 256 +53: LDPC codeword total bits = 512 +53: LDPC codeword data bits used = 128 +53: LDPC codeword total length in modem packet = 384 +53: Warning EsNo: 3.000000 hard coded +53: Tx postamble +53: Tx preamble +49: 29 nin: 880 st: search ct_est: 212 nin: 880 mx: 0.02 foff_est: 30.0 timing_valid: 0 pre +53: 0 nin: 880 st: search Tx postamble +53: Tx preamble +49: 30 nin: 880 st: search ct_est: 710 nin: 880 mx: 0.05 foff_est: -34.0 timing_valid: 0 post 52: 111 nin: 880 st: search ct_est: 731 nin: 880 mx: 0.22 foff_est: -3.0 timing_valid: 0 pre -49: 43 nin: 880 st: search ct_est: 584 nin: 880 mx: 0.02 foff_est: 31.0 timing_valid: 0 pre -49: BER......: 0.0039 Tbits: 768 Terrs: 3 Tpackets: 3 SNR3kdB: 2.45 -49: Coded BER: 0.0000 Tbits: 384 Terrs: 0 -49: Coded PER: 0.0000 Tpkts: 3 Tpers: 0 Thruput: 3 -49: Npre.....: 3 Npost: 0 uw_fails: 0 - 51/155 Test #49: test_OFDM_modem_datac0_ldpc_burst .............. Passed 7.20 sec +53: ct_est: 876 nin: 880 mx: 0.19 foff_est: 7.0 timing_valid: 0 pre +52: 112 nin: 880 st: search ct_est: 595 nin: 880 mx: 0.21 foff_est: 40.0 timing_valid: 0 pre +53: 1 nin: 880 st: search ct_est: 630 nin: 1509 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre +53: 2 nin: 1509 st: trial euw: 23 1 mf: 0 f: 0.0 pbw: 1 +53: 3 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +53: 4 nin: 880 st: trial euw: 11 3 mf: 0 f: -0.0 pbw: 1 +53: 5 nin: 880 st: trial euw: 29 4 mf: 0 f: 0.0 pbw: 1 +53: 6 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 +53: 7 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 +53: 8 nin: 880 st: synced Tx postamble +53: euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 9 nin: 880 st: synced euw: 24 5 mf: 8 f: -0.0 pbw: 1 +53: 10 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 11 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 12 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 +53: 13 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 +53: 14 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 +53: 15 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 16 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 17 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 18 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 +53: 19 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.73 +49: 31 nin: 880 st: search ct_est: 82 nin: 961 mx: 0.33 foff_est: 0.0 timing_valid: 1 pre +49: 32 nin: 961 st: trial euw: 17 1 mf: 0 f: 0.1 pbw: 1 +49: 33 nin: 880 st: trial euw: 18 2 mf: 0 f: 0.1 pbw: 1 +49: 34 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.0 pbw: 1 +49: 35 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.1 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 snr: 1.80 +53: 20 nin: 880 st: search Tx preamble +52: 113 nin: 880 st: search ct_est: 650 nin: 880 mx: 0.26 foff_est: 33.0 timing_valid: 0 pre +49: 36 nin: 880 st: search ct_est: 684 nin: 880 mx: 0.01 foff_est: 3.0 timing_valid: 0 pre +53: ct_est: 662 nin: 880 mx: 0.18 foff_est: -47.0 timing_valid: 0 pre +49: 37 nin: 880 st: search ct_est: 521 nin: 880 mx: 0.02 foff_est: -20.0 timing_valid: 0 pre +53: 21 nin: 880 st: search ct_est: 293 nin: 880 mx: 0.21 foff_est: -6.0 timing_valid: 0 pre +52: 114 nin: 880 st: search ct_est: 277 nin: 880 mx: 0.20 foff_est: 4.0 timing_valid: 0 post +49: 38 nin: 880 st: search ct_est: 807 nin: 880 mx: 0.02 foff_est: 29.0 timing_valid: 0 pre +53: 22 nin: 880 st: search ct_est: 694 nin: 880 mx: 0.18 foff_est: -22.0 timing_valid: 0 pre +49: 39 nin: 880 st: search ct_est: 206 nin: 880 mx: 0.02 foff_est: 49.0 timing_valid: 0 post +52: 115 nin: 880 st: search ct_est: 69 nin: 880 mx: 0.22 foff_est: -43.0 timing_valid: 0 pre +41: ./ofdm_time_sync.sh: line 24: python3: command not found +53: 23 nin: 880 st: search ct_est: 105 nin: 880 mx: 0.21 foff_est: 42.0 timing_valid: 0 post + 50/155 Test #41: test_OFDM_modem_time_sync_2020 .................***Failed 3.98 sec +./ofdm_time_sync.sh: line 24: python3: command not found + test 54 Start 54: test_ldpc_enc_dec @@ -12221,71 +12223,17 @@ 54: Nframes: 200 54: Using: HRA_112_112 54: CodeLength: 224 offset: 0 -54: ....................................written: 44800 -53: 51 nin: 880 st: search ct_est: 353 nin: 880 mx: 0.22 foff_est: 7.0 timing_valid: 0 pre -52: 112 nin: 880 st: search ct_est: 595 nin: 880 mx: 0.21 foff_est: 40.0 timing_valid: 0 pre -52: 113 nin: 880 st: search ct_est: 650 nin: 880 mx: 0.26 foff_est: 33.0 timing_valid: 0 pre -54: .......xx...........................x.....x..x....................x.....................measured double sided (real) noise power: 0.564208 -53: 52 nin: 880 st: search ct_est: 250 nin: 880 mx: 0.17 foff_est: 45.0 timing_valid: 0 pre -52: 114 nin: 880 st: search ct_est: 277 nin: 880 mx: 0.20 foff_est: 4.0 timing_valid: 0 post -54: ...x....x............x.................x.................x..................total iters 5410 -54: Raw Tbits: 44800 Terr: 4116 BER: 0.092 -54: Coded Tbits: 22400 Terr: 62 BER: 0.003 -54: Tpkts: 200 Tper: 11 PER: 0.055 - 52/155 Test #54: test_ldpc_enc_dec .............................. Passed 0.58 sec -test 55 - Start 55: test_ldpc_enc_dec_HRA_56_56 - -55: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code HRA_56_56 --testframes 200 | - ./ldpc_noise - - 0.5 | - ./ldpc_dec - /dev/null --code HRA_56_56 --sd --testframes" -55: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -55: Test timeout computed to be: 1500 -55: Using: HRA_56_56 -55: Nframes: 200 -55: Uncoded PSK Eb/No simulation: -55: No = 0.50 dB (1.12 linear) -55: Eb = 0.00 dB (1.00 linear) -55: Eb/No = -0.50 dB (0.89 linear) -55: written: 22400 -55: Using: HRA_56_56 -55: CodeLength: 112 offset: 0 -53: 53 nin: 880 st: search ct_est: 96 nin: 880 mx: 0.23 foff_est: 22.0 timing_valid: 0 pre -55: ........x.............................x.xxx..x...measured double sided (real) noise power: 0.570931 -52: 115 nin: 880 st: search ct_est: 69 nin: 880 mx: 0.22 foff_est: -43.0 timing_valid: 0 pre -53: 54 nin: 880 st: search ct_est: 181 nin: 880 mx: 0.23 foff_est: 17.0 timing_valid: 0 post 52: 116 nin: 880 st: search ct_est: 754 nin: 880 mx: 0.17 foff_est: 5.0 timing_valid: 0 pre -55: ...x..........x.x..x..................x.x...............x...x..x......................x..x...........................................x.x.............x.total iters 5859 -55: Raw Tbits: 22400 Terr: 2129 BER: 0.095 -55: Coded Tbits: 11200 Terr: 100 BER: 0.009 -55: Tpkts: 200 Tper: 20 PER: 0.100 - 53/155 Test #55: test_ldpc_enc_dec_HRA_56_56 .................... Passed 0.37 sec -test 56 - Start 56: test_ldpc_enc_dec_H_212_158 - -56: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_212_158 --testframes 200 | - ./ldpc_noise - - -2.0 | - ./ldpc_dec - /dev/null --code H_212_158 --sd --testframes" -56: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -56: Test timeout computed to be: 1500 -56: Using: H_212_158 -56: Using: H_212_158 -56: Nframes: 200 -56: CodeLength: 212 offset: 0 -56: Uncoded PSK Eb/No simulation: -56: No = -2.00 dB (0.63 linear) -56: Eb = 0.00 dB (1.00 linear) -56: Eb/No = 2.00 dB (1.58 linear) -56: ...............................written: 42400 -53: 55 nin: 880 st: search ct_est: 716 nin: 880 mx: 0.17 foff_est: 5.0 timing_valid: 0 post -56: .........................................x........................................x.....xmeasured double sided (real) noise power: 0.318436 +49: 40 nin: 880 st: search ct_est: 21 nin: 880 mx: 0.02 foff_est: -51.0 timing_valid: 0 pre +53: 24 nin: 880 st: search ct_est: 451 nin: 880 mx: 0.19 foff_est: -15.0 timing_valid: 0 pre +54: ....................................written: 44800 52: 117 nin: 880 st: search ct_est: 80 nin: 959 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre 52: 118 nin: 959 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 52: 119 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 52: 120 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.0 pbw: 1 52: 121 nin: 880 st: synced euw: 19 3 mf: 4 f: 0.0 pbw: 1 +53: 25 nin: 880 st: search ct_est: 766 nin: 880 mx: 0.23 foff_est: 39.0 timing_valid: 0 pre +49: 41 nin: 880 st: search ct_est: 359 nin: 880 mx: 0.02 foff_est: 30.0 timing_valid: 0 post 52: 122 nin: 880 st: synced euw: 18 3 mf: 5 f: -0.0 pbw: 1 52: 123 nin: 880 st: synced euw: 20 3 mf: 6 f: 0.0 pbw: 1 52: 124 nin: 880 st: synced euw: 17 3 mf: 7 f: 0.0 pbw: 1 @@ -12300,26 +12248,11 @@ 52: 133 nin: 880 st: synced euw: 17 3 mf: 16 f: -0.0 pbw: 1 52: 134 nin: 880 st: synced euw: 17 3 mf: 17 f: 0.0 pbw: 1 52: 135 nin: 880 st: synced euw: 18 3 mf: 18 f: -0.0 pbw: 1 -53: 56 nin: 880 st: search ct_est: 730 nin: 880 mx: 0.18 foff_est: 6.0 timing_valid: 0 pre 52: 136 nin: 880 st: synced euw: 18 3 mf: 19 f: 0.0 pbw: 1 52: 137 nin: 880 st: synced euw: 16 3 mf: 20 f: -0.0 pbw: 1 52: 138 nin: 880 st: synced euw: 11 3 mf: 21 f: 0.0 pbw: 1 52: 139 nin: 880 st: synced euw: 15 3 mf: 22 f: -0.0 pbw: 1 52: 140 nin: 880 st: synced euw: 13 3 mf: 23 f: 0.0 pbw: 1 -56: ........x...........x.....x...............................x.....................total iters 2280 -56: Raw Tbits: 42400 Terr: 1621 BER: 0.038 -56: Coded Tbits: 31200 Terr: 46 BER: 0.001 -56: Tpkts: 200 Tper: 7 PER: 0.035 - 54/155 Test #56: test_ldpc_enc_dec_H_212_158 .................... Passed 0.35 sec -test 57 - Start 57: test_ldpc_enc_dec_HRAb_396_504 - -57: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code HRAb_396_504 --testframes 200 | - ./ldpc_noise - - -2.0 | - ./ldpc_dec - /dev/null --code HRAb_396_504 --sd --testframes" -57: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -57: Test timeout computed to be: 1500 52: 141 nin: 880 st: synced euw: 15 3 mf: 24 f: -0.0 pbw: 1 52: 142 nin: 880 st: synced euw: 18 3 mf: 25 f: 0.0 pbw: 1 52: 143 nin: 880 st: synced euw: 18 3 mf: 26 f: -0.0 pbw: 1 @@ -12339,60 +12272,99 @@ 52: euw: 13 3 mf: 39 f: -0.0 pbw: 1 52: 157 nin: 880 st: synced euw: 15 3 mf: 40 f: -0.0 pbw: 1 52: 158 nin: 880 st: synced euw: 16 3 mf: 41 f: 0.0 pbw: 1 -57: Using: HRAb_396_504 -57: CodeLength: 504 offset: 0 52: 159 nin: 880 st: synced euw: 12 3 mf: 42 f: -0.0 pbw: 1 52: 160 nin: 880 st: synced euw: 11 3 mf: 43 f: -0.0 pbw: 1 -57: Using: HRAb_396_504 -57: Nframes: 200 -57: Uncoded PSK Eb/No simulation: -57: No = -2.00 dB (0.63 linear) -57: Eb = 0.00 dB (1.00 linear) -57: Eb/No = 2.00 dB (1.58 linear) 52: 161 nin: 880 st: synced euw: 12 3 mf: 44 f: 0.0 pbw: 1 52: 162 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 163 nin: 880 st: synced euw: 14 3 mf: 46 f: 0.0 pbw: 1 52: 164 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.76 52: 165 nin: 880 st: search Tx preamble -53: 57 nin: 880 st: search ct_est: 374 nin: 880 mx: 0.27 foff_est: 48.0 timing_valid: 0 pre +49: 42 nin: 880 st: search ct_est: 808 nin: 880 mx: 0.02 foff_est: 40.0 timing_valid: 0 pre +54: .......xx...........................x.....x..x....................x.....................measured double sided (real) noise power: 0.564208 +53: 26 nin: 880 st: search ct_est: 54 nin: 880 mx: 0.18 foff_est: 26.0 timing_valid: 0 post 52: ct_est: 842 nin: 880 mx: 0.17 foff_est: -35.0 timing_valid: 0 post -53: 58 nin: 880 st: search ct_est: 790 nin: 880 mx: 0.28 foff_est: 3.0 timing_valid: 0 post -53: 59 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre -53: 60 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 -53: 61 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 -53: 62 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 -53: 63 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 -53: 64 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 -53: 65 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.1 pbw: 1 -53: 66 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 67 nin: 880 st: synced euw: 24 5 mf: 8 f: -0.0 pbw: 1 +49: 43 nin: 880 st: search ct_est: 584 nin: 880 mx: 0.02 foff_est: 31.0 timing_valid: 0 pre +49: BER......: 0.0039 Tbits: 768 Terrs: 3 Tpackets: 3 SNR3kdB: 2.45 +49: Coded BER: 0.0000 Tbits: 384 Terrs: 0 +49: Coded PER: 0.0000 Tpkts: 3 Tpers: 0 Thruput: 3 +49: Npre.....: 3 Npost: 0 uw_fails: 0 + 51/155 Test #49: test_OFDM_modem_datac0_ldpc_burst .............. Passed 3.37 sec +test 55 + Start 55: test_ldpc_enc_dec_HRA_56_56 + +55: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code HRA_56_56 --testframes 200 | + ./ldpc_noise - - 0.5 | + ./ldpc_dec - /dev/null --code HRA_56_56 --sd --testframes" +55: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +55: Test timeout computed to be: 1500 +55: Using: HRA_56_56 +55: Using: HRA_56_56 +55: Nframes: 200 +55: CodeLength: 112 offset: 0 +55: Uncoded PSK Eb/No simulation: +55: No = 0.50 dB (1.12 linear) +55: Eb = 0.00 dB (1.00 linear) +55: Eb/No = -0.50 dB (0.89 linear) +55: written: 22400 +54: ...x....x............x.................x.................x..................total iters 5410 +54: Raw Tbits: 44800 Terr: 4116 BER: 0.092 +54: Coded Tbits: 22400 Terr: 62 BER: 0.003 +54: Tpkts: 200 Tper: 11 PER: 0.055 + 52/155 Test #54: test_ldpc_enc_dec .............................. Passed 0.44 sec +test 56 + Start 56: test_ldpc_enc_dec_H_212_158 + +56: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_212_158 --testframes 200 | + ./ldpc_noise - - -2.0 | + ./ldpc_dec - /dev/null --code H_212_158 --sd --testframes" +56: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +56: Test timeout computed to be: 1500 +53: 27 nin: 880 st: search ct_est: 220 nin: 880 mx: 0.22 foff_est: -30.0 timing_valid: 0 post +55: ........x.............................x.xxx..xmeasured double sided (real) noise power: 0.570931 52: 166 nin: 880 st: search ct_est: 439 nin: 880 mx: 0.22 foff_est: 0.0 timing_valid: 0 post -53: 68 nin: 880 st: synced Tx postamble -53: euw: 23 5 mf: 9 f: 0.0 pbw: 1 -53: 69 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 -53: 70 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 -53: 71 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 -53: 72 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 73 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 -53: 74 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 -53: 75 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 -53: 76 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 -53: 77 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.42 -53: 78 nin: 880 st: search Tx preamble +56: Using: H_212_158 +56: CodeLength: 212 offset: 0 +56: Uncoded PSK Eb/No simulation: +56: No = -2.00 dB (0.63 linear) +56: Eb = 0.00 dB (1.00 linear) +56: Eb/No = 2.00 dB (1.58 linear) +56: Using: H_212_158 +56: Nframes: 200 +56: ...................................written: 42400 +53: 28 nin: 880 st: search ct_est: 127 nin: 880 mx: 0.22 foff_est: -30.0 timing_valid: 0 pre 52: 167 nin: 880 st: search ct_est: 265 nin: 880 mx: 0.23 foff_est: -20.0 timing_valid: 0 pre -53: ct_est: 778 nin: 880 mx: 0.17 foff_est: 37.0 timing_valid: 0 post -57: x........xx...........x...x...x.........x.x....................x......x....xx...x.........x.x.x...x..xx.x.......x....x..........written: 100800 +56: .....................................x........................................x.....x.......measured double sided (real) noise power: 0.318436 +55: ......x..........x.x..x..................x.x...............x...x..x......................x..x...........................................x.x.............x.total iters 5859 +55: Raw Tbits: 22400 Terr: 2129 BER: 0.095 +55: Coded Tbits: 11200 Terr: 100 BER: 0.009 +55: Tpkts: 200 Tper: 20 PER: 0.100 + 53/155 Test #55: test_ldpc_enc_dec_HRA_56_56 .................... Passed 0.23 sec +test 57 + Start 57: test_ldpc_enc_dec_HRAb_396_504 + +57: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code HRAb_396_504 --testframes 200 | + ./ldpc_noise - - -2.0 | + ./ldpc_dec - /dev/null --code HRAb_396_504 --sd --testframes" +57: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +57: Test timeout computed to be: 1500 +57: Using: HRAb_396_504 +57: CodeLength: 504 offset: 0 +53: 29 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.34 foff_est: 3.0 timing_valid: 0 post +57: Using: HRAb_396_504 +57: Nframes: 200 +57: Uncoded PSK Eb/No simulation: +57: No = -2.00 dB (0.63 linear) +57: Eb = 0.00 dB (1.00 linear) +57: Eb/No = 2.00 dB (1.58 linear) 52: 168 nin: 880 st: search ct_est: 306 nin: 880 mx: 0.24 foff_est: -33.0 timing_valid: 0 pre -52: 169 nin: 880 st: search ct_est: 194 nin: 880 mx: 0.18 foff_est: 18.0 timing_valid: 0 post -57: ......x.......x.....................x..measured double sided (real) noise power: 2.040972 -53: 79 nin: 880 st: search ct_est: 309 nin: 880 mx: 0.23 foff_est: 26.0 timing_valid: 0 post -52: 170 nin: 880 st: search ct_est: 434 nin: 880 mx: 0.24 foff_est: -39.0 timing_valid: 0 pre -53: 80 nin: 880 st: search ct_est: 746 nin: 880 mx: 0.24 foff_est: 27.0 timing_valid: 0 pre -57: x...........x.x...x.x...x.....x..total iters 5491 -57: Raw Tbits: 100800 Terr: 3828 BER: 0.038 -57: Coded Tbits: 79200 Terr: 324 BER: 0.004 -57: Tpkts: 200 Tper: 32 PER: 0.160 - 55/155 Test #57: test_ldpc_enc_dec_HRAb_396_504 ................. Passed 1.31 sec +56: .x...........x.....x...............................x.....................total iters 2280 +56: Raw Tbits: 42400 Terr: 1621 BER: 0.038 +56: Coded Tbits: 31200 Terr: 46 BER: 0.001 +56: Tpkts: 200 Tper: 7 PER: 0.035 + 54/155 Test #56: test_ldpc_enc_dec_H_212_158 .................... Passed 0.21 sec test 58 Start 58: test_ldpc_enc_dec_H_256_768_22 @@ -12402,24 +12374,43 @@ ./ldpc_dec - /dev/null --code H_256_768_22 --sd --testframes" 58: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 58: Test timeout computed to be: 1500 -58: Using: H_256_768_22 -58: Nframes: 200 58: Uncoded PSK Eb/No simulation: 58: No = 3.00 dB (2.00 linear) 58: Eb = 0.00 dB (1.00 linear) 58: Eb/No = -3.00 dB (0.50 linear) 58: Using: H_256_768_22 +58: Nframes: 200 +58: Using: H_256_768_22 58: CodeLength: 768 offset: 0 +52: 169 nin: 880 st: search ct_est: 194 nin: 880 mx: 0.18 foff_est: 18.0 timing_valid: 0 post +53: 30 nin: 880 st: search ct_est: 80 nin: 959 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre +53: 31 nin: 959 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 +53: 32 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +53: 33 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 +53: 34 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 +53: 35 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 +53: 36 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 +53: 37 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 38 nin: 880 st: synced euw: 24 5 mf: 8 f: -0.0 pbw: 1 +53: 39 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 40 nin: 880 st: synced Tx postamble +53: euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 41 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 +53: 42 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 +53: 43 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 44 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 45 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.1 pbw: 1 +53: 46 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 47 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 +53: 48 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.48 +53: 49 nin: 880 st: search Tx preamble +52: 170 nin: 880 st: search ct_est: 434 nin: 880 mx: 0.24 foff_est: -39.0 timing_valid: 0 pre 52: 171 nin: 880 st: search ct_est: 83 nin: 880 mx: 0.18 foff_est: -50.0 timing_valid: 0 pre -53: 81 nin: 880 st: search ct_est: 198 nin: 880 mx: 0.29 foff_est: 28.0 timing_valid: 0 pre -53: 82 nin: 880 st: search ct_est: 664 nin: 880 mx: 0.18 foff_est: 31.0 timing_valid: 0 pre +53: ct_est: 867 nin: 880 mx: 0.14 foff_est: -38.0 timing_valid: 0 post 52: 172 nin: 880 st: search ct_est: 674 nin: 880 mx: 0.18 foff_est: 4.0 timing_valid: 0 pre -53: 83 nin: 880 st: search ct_est: 99 nin: 880 mx: 0.24 foff_est: 5.0 timing_valid: 0 pre -53: 84 nin: 880 st: search ct_est: 682 nin: 880 mx: 0.15 foff_est: 26.0 timing_valid: 0 post 52: 173 nin: 880 st: search ct_est: 166 nin: 880 mx: 0.21 foff_est: 27.0 timing_valid: 0 pre -53: 85 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.17 foff_est: -8.0 timing_valid: 0 post +53: 50 nin: 880 st: search ct_est: 848 nin: 880 mx: 0.18 foff_est: 15.0 timing_valid: 0 post 52: 174 nin: 880 st: search ct_est: 607 nin: 880 mx: 0.17 foff_est: 16.0 timing_valid: 0 post -53: 86 nin: 880 st: search ct_est: 59 nin: 880 mx: 0.14 foff_est: -6.0 timing_valid: 0 post 52: 175 nin: 880 st: search ct_est: 82 nin: 961 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre 52: 176 nin: 961 st: trial euw: 12 1 mf: 0 f: -0.0 pbw: 1 52: 177 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 @@ -12437,6 +12428,7 @@ 52: 189 nin: 880 st: synced euw: 20 3 mf: 14 f: 0.0 pbw: 1 52: 190 nin: 880 st: synced euw: 17 3 mf: 15 f: 0.0 pbw: 1 52: 191 nin: 880 st: synced euw: 17 3 mf: 16 f: 0.0 pbw: 1 +57: x........xx...........x...x...x.........x.x....................x......x....xx...x.........x.x.x...x..xx.x.......x....x.............written: 100800 52: 192 nin: 880 st: synced euw: 17 3 mf: 17 f: -0.0 pbw: 1 52: 193 nin: 880 st: synced euw: 18 3 mf: 18 f: 0.0 pbw: 1 52: 194 nin: 880 st: synced euw: 18 3 mf: 19 f: 0.0 pbw: 1 @@ -12449,6 +12441,7 @@ 52: 201 nin: 880 st: synced euw: 18 3 mf: 26 f: 0.0 pbw: 1 52: 202 nin: 880 st: synced euw: 19 3 mf: 27 f: 0.0 pbw: 1 52: 203 nin: 880 st: synced euw: 17 3 mf: 28 f: -0.0 pbw: 1 +53: 51 nin: 880 st: search ct_est: 353 nin: 880 mx: 0.22 foff_est: 7.0 timing_valid: 0 pre 52: 204 nin: 880 st: synced euw: 15 3 mf: 29 f: -0.0 pbw: 1 52: 205 nin: 880 st: synced euw: 18 3 mf: 30 f: 0.0 pbw: 1 52: 206 nin: 880 st: synced euw: 18 3 mf: 31 f: 0.0 pbw: 1 @@ -12457,7 +12450,8 @@ 52: 209 nin: 880 st: synced euw: 16 3 mf: 34 f: 0.0 pbw: 1 52: 210 nin: 880 st: synced euw: 15 3 mf: 35 f: -0.1 pbw: 1 52: 211 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 -52: 212 nin: 880 st: synced euw: 21 3 mf: 37 f: -0.0 pbw: 1 +52: 212 nin: 880 st: synced Tx postamble +52: euw: 21 3 mf: 37 f: -0.0 pbw: 1 52: 213 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 52: 214 nin: 880 st: synced euw: 13 3 mf: 39 f: 0.0 pbw: 1 52: 215 nin: 880 st: synced euw: 15 3 mf: 40 f: 0.0 pbw: 1 @@ -12467,53 +12461,97 @@ 52: 219 nin: 880 st: synced euw: 12 3 mf: 44 f: 0.0 pbw: 1 52: 220 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 221 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 -52: 222 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.47 -52: 223 nin: 880 st: search Tx postamble -53: 87 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.30 foff_est: 2.0 timing_valid: 0 post -52: Tx preamble -52: ct_est: 815 nin: 880 mx: 0.13 foff_est: -15.0 timing_valid: 0 pre -53: 88 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre -53: 89 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 -53: 90 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 -53: 91 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 -53: 92 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 -53: 93 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 -53: 94 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.1 pbw: 1 -53: 95 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 -53: 96 nin: 880 st: synced Tx postamble -53: euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 97 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 -53: 98 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 -53: 99 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 -53: 100 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 -53: 101 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 102 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 -53: 103 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 104 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.1 pbw: 1 -53: 105 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 -53: 106 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 1.67 -53: 107 nin: 880 st: search Tx preamble +52: 222 nin: 880 st: synced Tx preamble +52: euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.47 +57: ...x.......x.....................x..measured double sided (real) noise power: 2.040972 +52: 223 nin: 880 st: search ct_est: 815 nin: 880 mx: 0.13 foff_est: -15.0 timing_valid: 0 pre +53: 52 nin: 880 st: search ct_est: 250 nin: 880 mx: 0.17 foff_est: 45.0 timing_valid: 0 pre 52: 224 nin: 880 st: search ct_est: 406 nin: 880 mx: 0.18 foff_est: 22.0 timing_valid: 0 pre -53: ct_est: 861 nin: 880 mx: 0.13 foff_est: 4.0 timing_valid: 0 post +57: x...........x.x...x.x...x.....x..total iters 5491 +57: Raw Tbits: 100800 Terr: 3828 BER: 0.038 +57: Coded Tbits: 79200 Terr: 324 BER: 0.004 +57: Tpkts: 200 Tper: 32 PER: 0.160 + 55/155 Test #57: test_ldpc_enc_dec_HRAb_396_504 ................. Passed 1.00 sec +test 59 + Start 59: test_ldpc_enc_dec_H_256_512_4 + +59: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_256_512_4 --testframes 200 | + ./ldpc_noise - - 0.5 | + ./ldpc_dec - /dev/null --code H_256_512_4 --sd --testframes" +59: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +59: Test timeout computed to be: 1500 +59: Using: H_256_512_4 +59: Nframes: 200 +59: Using: H_256_512_4 +59: CodeLength: 512 offset: 0 +59: Uncoded PSK Eb/No simulation: +59: No = 0.50 dB (1.12 linear) +59: Eb = 0.00 dB (1.00 linear) +59: Eb/No = -0.50 dB (0.89 linear) +53: 53 nin: 880 st: search ct_est: 96 nin: 880 mx: 0.23 foff_est: 22.0 timing_valid: 0 pre 52: 225 nin: 880 st: search ct_est: 710 nin: 880 mx: 0.15 foff_est: -24.0 timing_valid: 0 pre -53: 108 nin: 880 st: search ct_est: 333 nin: 880 mx: 0.16 foff_est: 11.0 timing_valid: 0 post 52: 226 nin: 880 st: search ct_est: 686 nin: 880 mx: 0.16 foff_est: 32.0 timing_valid: 0 post -53: 109 nin: 880 st: search ct_est: 850 nin: 880 mx: 0.15 foff_est: 3.0 timing_valid: 0 pre +53: 54 nin: 880 st: search ct_est: 181 nin: 880 mx: 0.23 foff_est: 17.0 timing_valid: 0 post +53: 55 nin: 880 st: search ct_est: 716 nin: 880 mx: 0.17 foff_est: 5.0 timing_valid: 0 post 52: 227 nin: 880 st: search ct_est: 578 nin: 880 mx: 0.23 foff_est: -22.0 timing_valid: 0 post -53: 110 nin: 880 st: search ct_est: 877 nin: 880 mx: 0.19 foff_est: 19.0 timing_valid: 0 pre +53: 56 nin: 880 st: search ct_est: 730 nin: 880 mx: 0.18 foff_est: 6.0 timing_valid: 0 pre +59: ...................x...............................................................................x............................written: 102400 52: 228 nin: 880 st: search ct_est: 226 nin: 880 mx: 0.22 foff_est: 24.0 timing_valid: 0 post -53: 111 nin: 880 st: search ct_est: 206 nin: 880 mx: 0.23 foff_est: -22.0 timing_valid: 0 pre -52: 229 nin: 880 st: search ct_est: 702 nin: 880 mx: 0.24 foff_est: 5.0 timing_valid: 0 post -53: 112 nin: 880 st: search ct_est: -2 nin: 880 mx: 0.17 foff_est: 17.0 timing_valid: 0 pre +53: 57 nin: 880 st: search ct_est: 374 nin: 880 mx: 0.27 foff_est: 48.0 timing_valid: 0 pre 58: ...............................x......................................................x.................................................................written: 153600 +52: 229 nin: 880 st: search ct_est: 702 nin: 880 mx: 0.24 foff_est: 5.0 timing_valid: 0 post +53: 58 nin: 880 st: search ct_est: 790 nin: 880 mx: 0.28 foff_est: 3.0 timing_valid: 0 post +59: ......................................measured double sided (real) noise power: 3.101823 52: 230 nin: 880 st: search ct_est: 747 nin: 880 mx: 0.22 foff_est: 49.0 timing_valid: 0 pre -53: 113 nin: 880 st: search ct_est: 345 nin: 880 mx: 0.23 foff_est: -26.0 timing_valid: 0 pre -58: .........................measured double sided (real) noise power: 11.099521 +53: 59 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre +53: 60 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 61 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 +53: 62 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 +53: 63 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 +53: 64 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 +53: 65 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.1 pbw: 1 +53: 66 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 67 nin: 880 st: synced euw: 24 5 mf: 8 f: -0.0 pbw: 1 +53: 68 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 69 nin: 880 st: synced Tx postamble +53: euw: 18 5 mf: 10 f: 0.0 pbw: 1 +53: 70 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 +53: 71 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 +53: 72 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 73 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 +53: 74 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 +53: 75 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 +53: 76 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 +53: 77 nin: 880 st: synced Tx preamble +53: euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.42 52: 231 nin: 880 st: search ct_est: 77 nin: 880 mx: 0.21 foff_est: 25.0 timing_valid: 0 pre -53: 114 nin: 880 st: search ct_est: 254 nin: 880 mx: 0.19 foff_est: -31.0 timing_valid: 0 pre -53: 115 nin: 880 st: search ct_est: 172 nin: 880 mx: 0.21 foff_est: -50.0 timing_valid: 0 pre +58: .........................measured double sided (real) noise power: 11.099521 +53: 78 nin: 880 st: search ct_est: 778 nin: 880 mx: 0.17 foff_est: 37.0 timing_valid: 0 post 52: 232 nin: 880 st: search ct_est: 755 nin: 880 mx: 0.18 foff_est: 6.0 timing_valid: 0 pre -53: 116 nin: 880 st: search ct_est: 785 nin: 880 mx: 0.27 foff_est: 2.0 timing_valid: 0 post +59: ..................................total iters 5243 +59: Raw Tbits: 102400 Terr: 9406 BER: 0.092 +59: Coded Tbits: 51200 Terr: 37 BER: 0.001 +59: Tpkts: 200 Tper: 2 PER: 0.010 + 56/155 Test #59: test_ldpc_enc_dec_H_256_512_4 .................. Passed 0.92 sec +test 60 + Start 60: test_ldpc_enc_dec_HRAa_1536_512 + +60: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code HRAa_1536_512 --testframes 200 | + ./ldpc_noise - - -2 | + ./ldpc_dec - /dev/null --code HRAa_1536_512 --sd --testframes" +60: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +60: Test timeout computed to be: 1500 +60: Using: HRAa_1536_512 +60: CodeLength: 2048 offset: 0 +60: Uncoded PSK Eb/No simulation: +60: No = -2.00 dB (0.63 linear) +60: Eb = 0.00 dB (1.00 linear) +60: Eb/No = 2.00 dB (1.58 linear) +60: Using: HRAa_1536_512 +60: Nframes: 200 +53: 79 nin: 880 st: search ct_est: 309 nin: 880 mx: 0.23 foff_est: 26.0 timing_valid: 0 post 52: 233 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre 52: 234 nin: 960 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 52: 235 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 @@ -12529,21 +12567,7 @@ 52: 245 nin: 880 st: synced euw: 15 3 mf: 12 f: 0.0 pbw: 1 52: 246 nin: 880 st: synced euw: 17 3 mf: 13 f: 0.0 pbw: 1 52: 247 nin: 880 st: synced euw: 20 3 mf: 14 f: 0.0 pbw: 1 -58: .......................total iters 15420 -58: Raw Tbits: 153600 Terr: 24527 BER: 0.160 -58: Coded Tbits: 51200 Terr: 63 BER: 0.001 -58: Tpkts: 200 Tper: 2 PER: 0.010 52: 248 nin: 880 st: synced euw: 17 3 mf: 15 f: -0.0 pbw: 1 - 56/155 Test #58: test_ldpc_enc_dec_H_256_768_22 ................. Passed 3.89 sec -test 59 - Start 59: test_ldpc_enc_dec_H_256_512_4 - -59: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_256_512_4 --testframes 200 | - ./ldpc_noise - - 0.5 | - ./ldpc_dec - /dev/null --code H_256_512_4 --sd --testframes" -59: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -59: Test timeout computed to be: 1500 52: 249 nin: 880 st: synced euw: 17 3 mf: 16 f: 0.0 pbw: 1 52: 250 nin: 880 st: synced euw: 17 3 mf: 17 f: -0.0 pbw: 1 52: 251 nin: 880 st: synced euw: 18 3 mf: 18 f: -0.0 pbw: 1 @@ -12552,15 +12576,7 @@ 52: 254 nin: 880 st: synced euw: 11 3 mf: 21 f: 0.0 pbw: 1 52: 255 nin: 880 st: synced euw: 15 3 mf: 22 f: -0.0 pbw: 1 52: 256 nin: 880 st: synced euw: 13 3 mf: 23 f: 0.0 pbw: 1 -59: Using: H_256_512_4 -59: CodeLength: 512 offset: 0 52: 257 nin: 880 st: synced euw: 15 3 mf: 24 f: 0.0 pbw: 1 -59: Uncoded PSK Eb/No simulation: -59: No = 0.50 dB (1.12 linear) -59: Eb = 0.00 dB (1.00 linear) -59: Eb/No = -0.50 dB (0.89 linear) -59: Using: H_256_512_4 -59: Nframes: 200 52: 258 nin: 880 st: synced euw: 18 3 mf: 25 f: -0.0 pbw: 1 52: 259 nin: 880 st: synced euw: 18 3 mf: 26 f: -0.0 pbw: 1 52: 260 nin: 880 st: synced euw: 19 3 mf: 27 f: 0.0 pbw: 1 @@ -12579,83 +12595,111 @@ 52: 273 nin: 880 st: synced euw: 15 3 mf: 40 f: 0.0 pbw: 1 52: 274 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 52: 275 nin: 880 st: synced euw: 12 3 mf: 42 f: 0.0 pbw: 1 -53: 117 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre -52: 276 nin: 880 st: synced Tx postamble -53: 118 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 -53: 119 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 -53: 120 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 -52: euw: 11 3 mf: 43 f: 0.0 pbw: 1 +52: 276 nin: 880 st: synced euw: 11 3 mf: 43 f: 0.0 pbw: 1 52: 277 nin: 880 st: synced euw: 12 3 mf: 44 f: -0.0 pbw: 1 52: 278 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 279 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 -53: 121 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 -53: 122 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 52: 280 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.72 -53: 123 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 -53: 124 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 -53: 125 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 126 nin: 880 st: synced Tx postamble -53: euw: 23 5 mf: 9 f: 0.0 pbw: 1 -53: 127 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -52: 281 nin: 880 st: search Tx preamble -53: 128 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 -53: 129 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 -53: 130 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 131 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 -53: 132 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 133 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 -53: 134 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 -53: 135 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 3.66 -53: 136 nin: 880 st: search Tx preamble -53: ct_est: 763 nin: 880 mx: 0.18 foff_est: 25.0 timing_valid: 0 post +53: 80 nin: 880 st: search ct_est: 746 nin: 880 mx: 0.24 foff_est: 27.0 timing_valid: 0 pre +52: 281 nin: 880 st: search Tx postamble +52: Tx preamble 52: ct_est: 680 nin: 880 mx: 0.13 foff_est: -47.0 timing_valid: 0 pre +58: .......................total iters 15420 +58: Raw Tbits: 153600 Terr: 24527 BER: 0.160 +58: Coded Tbits: 51200 Terr: 63 BER: 0.001 +58: Tpkts: 200 Tper: 2 PER: 0.010 + 57/155 Test #58: test_ldpc_enc_dec_H_256_768_22 ................. Passed 2.13 sec +test 61 + Start 61: test_ldpc_enc_dec_H_128_256_5 + +61: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_128_256_5 --testframes 200 | + ./ldpc_noise - - 0.5 | + ./ldpc_dec - /dev/null --code H_128_256_5 --sd --testframes" +61: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +61: Test timeout computed to be: 1500 +53: 81 nin: 880 st: search ct_est: 198 nin: 880 mx: 0.29 foff_est: 28.0 timing_valid: 0 pre +61: Using: H_128_256_5 +61: Using: H_128_256_5 +61: Nframes: 200 +61: CodeLength: 256 offset: 0 +61: Uncoded PSK Eb/No simulation: +61: No = 0.50 dB (1.12 linear) +61: Eb = 0.00 dB (1.00 linear) +61: Eb/No = -0.50 dB (0.89 linear) 52: 282 nin: 880 st: search ct_est: 549 nin: 880 mx: 0.22 foff_est: 1.0 timing_valid: 0 post -53: 137 nin: 880 st: search ct_est: 408 nin: 880 mx: 0.17 foff_est: 5.0 timing_valid: 0 pre +53: 82 nin: 880 st: search ct_est: 664 nin: 880 mx: 0.18 foff_est: 31.0 timing_valid: 0 pre +61: .....x......x..........x...........................x........written: 51200 +53: 83 nin: 880 st: search ct_est: 99 nin: 880 mx: 0.24 foff_est: 5.0 timing_valid: 0 pre 52: 283 nin: 880 st: search ct_est: 293 nin: 880 mx: 0.23 foff_est: 8.0 timing_valid: 0 pre -59: ...................x...............................................................................x............................written: 102400 -53: 138 nin: 880 st: search ct_est: 100 nin: 880 mx: 0.18 foff_est: 5.0 timing_valid: 0 pre -59: .......................................measured double sided (real) noise power: 3.101823 +53: 84 nin: 880 st: search ct_est: 682 nin: 880 mx: 0.15 foff_est: 26.0 timing_valid: 0 post +61: ....................x.................x...........................x.....measured double sided (real) noise power: -0.882298 +53: 85 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.17 foff_est: -8.0 timing_valid: 0 post 52: 284 nin: 880 st: search ct_est: 688 nin: 880 mx: 0.19 foff_est: 19.0 timing_valid: 0 post -53: 139 nin: 880 st: search ct_est: 754 nin: 880 mx: 0.18 foff_est: 2.0 timing_valid: 0 post -59: .................................total iters 5243 -59: Raw Tbits: 102400 Terr: 9406 BER: 0.092 -59: Coded Tbits: 51200 Terr: 37 BER: 0.001 -59: Tpkts: 200 Tper: 2 PER: 0.010 - 57/155 Test #59: test_ldpc_enc_dec_H_256_512_4 .................. Passed 1.08 sec -test 60 - Start 60: test_ldpc_enc_dec_HRAa_1536_512 +53: 86 nin: 880 st: search ct_est: 59 nin: 880 mx: 0.14 foff_est: -6.0 timing_valid: 0 post +61: ....................................................................total iters 4955 +61: Raw Tbits: 51200 Terr: 4631 BER: 0.090 +61: Coded Tbits: 25600 Terr: 102 BER: 0.004 +61: Tpkts: 200 Tper: 7 PER: 0.035 + 58/155 Test #61: test_ldpc_enc_dec_H_128_256_5 .................. Passed 0.57 sec +test 62 + Start 62: test_ldpc_enc_dec_H_4096_8192_3d -60: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code HRAa_1536_512 --testframes 200 | - ./ldpc_noise - - -2 | - ./ldpc_dec - /dev/null --code HRAa_1536_512 --sd --testframes" -60: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -60: Test timeout computed to be: 1500 -60: Using: HRAa_1536_512 -60: Nframes: 200 -60: Using: HRAa_1536_512 -60: CodeLength: 2048 offset: 0 -60: Uncoded PSK Eb/No simulation: -60: No = -2.00 dB (0.63 linear) -60: Eb = 0.00 dB (1.00 linear) -60: Eb/No = 2.00 dB (1.58 linear) +62: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_4096_8192_3d --testframes 100 | + ./ldpc_noise - - 0.0 | + ./ldpc_dec - /dev/null --code H_4096_8192_3d --sd --testframes" +62: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +62: Test timeout computed to be: 1500 +62: Uncoded PSK Eb/No simulation: +62: No = 0.00 dB (1.00 linear) +62: Eb = 0.00 dB (1.00 linear) +62: Eb/No = -0.00 dB (1.00 linear) +62: Using: H_4096_8192_3d +62: CodeLength: 8192 offset: 0 +62: Using: H_4096_8192_3d +62: Nframes: 100 52: 285 nin: 880 st: search ct_est: 745 nin: 880 mx: 0.16 foff_est: 50.0 timing_valid: 0 post -53: 140 nin: 880 st: search ct_est: 412 nin: 880 mx: 0.21 foff_est: 20.0 timing_valid: 0 pre +53: 87 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.30 foff_est: 2.0 timing_valid: 0 post 52: 286 nin: 880 st: search ct_est: 239 nin: 880 mx: 0.22 foff_est: -24.0 timing_valid: 0 post -53: 141 nin: 880 st: search ct_est: 122 nin: 880 mx: 0.26 foff_est: -38.0 timing_valid: 0 pre +53: 88 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre +53: 89 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 90 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +53: 91 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 +53: 92 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 +53: 93 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 +53: 94 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.1 pbw: 1 +53: 95 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 +53: 96 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 +53: 97 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 98 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 +53: 99 nin: 880 st: synced Tx postamble +53: euw: 27 5 mf: 11 f: -0.0 pbw: 1 +53: 100 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 +53: 101 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 102 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 +53: 103 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 104 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.1 pbw: 1 +53: 105 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 +53: 106 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 1.67 +53: 107 nin: 880 st: search Tx preamble 52: 287 nin: 880 st: search ct_est: 806 nin: 880 mx: 0.19 foff_est: -4.0 timing_valid: 0 post +53: ct_est: 861 nin: 880 mx: 0.13 foff_est: 4.0 timing_valid: 0 post 52: 288 nin: 880 st: search ct_est: 818 nin: 880 mx: 0.28 foff_est: 34.0 timing_valid: 0 post -53: 142 nin: 880 st: search ct_est: 838 nin: 880 mx: 0.22 foff_est: 29.0 timing_valid: 0 post +53: 108 nin: 880 st: search ct_est: 333 nin: 880 mx: 0.16 foff_est: 11.0 timing_valid: 0 post 52: 289 nin: 880 st: search ct_est: 76 nin: 880 mx: 0.21 foff_est: 35.0 timing_valid: 0 post -53: 143 nin: 880 st: search ct_est: 805 nin: 880 mx: 0.25 foff_est: 4.0 timing_valid: 0 pre +53: 109 nin: 880 st: search ct_est: 850 nin: 880 mx: 0.15 foff_est: 3.0 timing_valid: 0 pre +60: ......................................................................................................................................................................................written: 409600 +53: 110 nin: 880 st: search ct_est: 877 nin: 880 mx: 0.19 foff_est: 19.0 timing_valid: 0 pre 52: 290 nin: 880 st: search ct_est: 756 nin: 880 mx: 0.18 foff_est: 5.0 timing_valid: 0 pre -53: 144 nin: 880 st: search ct_est: 462 nin: 880 mx: 0.20 foff_est: 11.0 timing_valid: 0 pre +60: .........measured double sided (real) noise power: 197.778035 +53: 111 nin: 880 st: search ct_est: 206 nin: 880 mx: 0.23 foff_est: -22.0 timing_valid: 0 pre 52: 291 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre 52: 292 nin: 960 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 +53: 112 nin: 880 st: search ct_est: -2 nin: 880 mx: 0.17 foff_est: 17.0 timing_valid: 0 pre 52: 293 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 52: 294 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.0 pbw: 1 52: 295 nin: 880 st: synced euw: 19 3 mf: 4 f: -0.0 pbw: 1 -53: 145 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.34 foff_est: 2.0 timing_valid: 0 post 52: 296 nin: 880 st: synced euw: 18 3 mf: 5 f: -0.0 pbw: 1 52: 297 nin: 880 st: synced euw: 20 3 mf: 6 f: 0.0 pbw: 1 52: 298 nin: 880 st: synced euw: 17 3 mf: 7 f: 0.0 pbw: 1 @@ -12689,10 +12733,23 @@ 52: 326 nin: 880 st: synced euw: 15 3 mf: 35 f: 0.0 pbw: 1 52: 327 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 52: 328 nin: 880 st: synced euw: 21 3 mf: 37 f: -0.0 pbw: 1 +60: .........total iters 2926 +60: Raw Tbits: 409600 Terr: 15365 BER: 0.038 +60: Coded Tbits: 307200 Terr: 0 BER: 0.000 +60: Tpkts: 200 Tper: 0 PER: 0.000 52: 329 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 -52: 330 nin: 880 st: synced Tx postamble -52: euw: 13 3 mf: 39 f: 0.0 pbw: 1 +52: 330 nin: 880 st: synced euw: 13 3 mf: 39 f: 0.0 pbw: 1 52: 331 nin: 880 st: synced euw: 15 3 mf: 40 f: -0.0 pbw: 1 + 59/155 Test #60: test_ldpc_enc_dec_HRAa_1536_512 ................ Passed 1.63 sec +test 63 + Start 63: test_ldpc_enc_dec_H_16200_9720 + +63: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_16200_9720 --testframes 10 | + ./ldpc_noise - - 0.5 | + ./ldpc_dec - /dev/null --code H_16200_9720 --sd --testframes" +63: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +63: Test timeout computed to be: 1500 52: 332 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 52: 333 nin: 880 st: synced euw: 12 3 mf: 42 f: 0.0 pbw: 1 52: 334 nin: 880 st: synced euw: 11 3 mf: 43 f: 0.0 pbw: 1 @@ -12700,96 +12757,61 @@ 52: 336 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 337 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 52: 338 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 2.78 -52: 339 nin: 880 st: search Tx preamble -53: 146 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre -53: 147 nin: 960 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 -53: 148 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +63: Using: H_16200_9720 +63: Nframes: 10 +52: 339 nin: 880 st: search Tx postamble +63: Uncoded PSK Eb/No simulation: +63: No = 0.50 dB (1.12 linear) +63: Eb = 0.00 dB (1.00 linear) +63: Eb/No = -0.50 dB (0.89 linear) +63: Using: H_16200_9720 +63: CodeLength: 16200 offset: 0 +52: Tx preamble 52: ct_est: 877 nin: 880 mx: 0.11 foff_est: -39.0 timing_valid: 0 post -53: 149 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 -53: 150 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 -53: 151 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 -53: 152 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 -53: 153 nin: 880 st: synced Tx postamble -53: euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 154 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 155 nin: 880 st: synced euw: 23 5 mf: 9 f: -0.0 pbw: 1 -53: 156 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 -53: 157 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 -53: 158 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 -53: 159 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 -53: 160 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 -53: 161 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 162 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 163 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.1 pbw: 1 -53: 164 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 3.25 -53: 165 nin: 880 st: search Tx preamble +53: 113 nin: 880 st: search ct_est: 345 nin: 880 mx: 0.23 foff_est: -26.0 timing_valid: 0 pre +53: 114 nin: 880 st: search ct_est: 254 nin: 880 mx: 0.19 foff_est: -31.0 timing_valid: 0 pre 52: 340 nin: 880 st: search ct_est: 676 nin: 880 mx: 0.23 foff_est: -44.0 timing_valid: 0 post -53: ct_est: 881 nin: 880 mx: 0.15 foff_est: -26.0 timing_valid: 0 post +53: 115 nin: 880 st: search ct_est: 172 nin: 880 mx: 0.21 foff_est: -50.0 timing_valid: 0 pre 52: 341 nin: 880 st: search ct_est: 700 nin: 880 mx: 0.22 foff_est: 25.0 timing_valid: 0 post -53: 166 nin: 880 st: search ct_est: 430 nin: 880 mx: 0.28 foff_est: -49.0 timing_valid: 0 post +53: 116 nin: 880 st: search ct_est: 785 nin: 880 mx: 0.27 foff_est: 2.0 timing_valid: 0 post 52: 342 nin: 880 st: search ct_est: 563 nin: 880 mx: 0.20 foff_est: -40.0 timing_valid: 0 pre -53: 167 nin: 880 st: search ct_est: 438 nin: 880 mx: 0.21 foff_est: -11.0 timing_valid: 0 post -53: 168 nin: 880 st: search ct_est: 494 nin: 880 mx: 0.17 foff_est: 20.0 timing_valid: 0 post -60: ......................................................................................................................................................................................written: 409600 +53: 117 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre +53: 118 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 119 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 +53: 120 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 +53: 121 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 +53: 122 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 +53: 123 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 +53: 124 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 +53: 125 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 +53: 126 nin: 880 st: synced Tx postamble +53: euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 127 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 128 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 +53: 129 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 +53: 130 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 131 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 132 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 133 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 +53: 134 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 +53: 135 nin: 880 st: synced Tx preamble +53: euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 3.66 52: 343 nin: 880 st: search ct_est: 204 nin: 880 mx: 0.16 foff_est: -10.0 timing_valid: 0 pre -60: .........measured double sided (real) noise power: 197.778035 -53: 169 nin: 880 st: search ct_est: 697 nin: 880 mx: 0.19 foff_est: -16.0 timing_valid: 0 pre +53: 136 nin: 880 st: search ct_est: 763 nin: 880 mx: 0.18 foff_est: 25.0 timing_valid: 0 post +53: 137 nin: 880 st: search ct_est: 408 nin: 880 mx: 0.17 foff_est: 5.0 timing_valid: 0 pre 52: 344 nin: 880 st: search ct_est: 198 nin: 880 mx: 0.22 foff_est: 48.0 timing_valid: 0 post -53: 170 nin: 880 st: search ct_est: 43 nin: 880 mx: 0.25 foff_est: -31.0 timing_valid: 0 pre -60: .........total iters 2926 -60: Raw Tbits: 409600 Terr: 15365 BER: 0.038 -60: Coded Tbits: 307200 Terr: 0 BER: 0.000 -60: Tpkts: 200 Tper: 0 PER: 0.000 - 58/155 Test #60: test_ldpc_enc_dec_HRAa_1536_512 ................ Passed 3.07 sec -test 61 - Start 61: test_ldpc_enc_dec_H_128_256_5 - -61: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_128_256_5 --testframes 200 | - ./ldpc_noise - - 0.5 | - ./ldpc_dec - /dev/null --code H_128_256_5 --sd --testframes" -61: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -61: Test timeout computed to be: 1500 -61: Using: H_128_256_5 -61: CodeLength: 256 offset: 0 -61: Uncoded PSK Eb/No simulation: -61: No = 0.50 dB (1.12 linear) -61: Eb = 0.00 dB (1.00 linear) -61: Eb/No = -0.50 dB (0.89 linear) -61: Using: H_128_256_5 -61: Nframes: 200 +53: 138 nin: 880 st: search ct_est: 100 nin: 880 mx: 0.18 foff_est: 5.0 timing_valid: 0 pre 52: 345 nin: 880 st: search ct_est: 246 nin: 880 mx: 0.17 foff_est: -2.0 timing_valid: 0 pre -53: 171 nin: 880 st: search ct_est: 373 nin: 880 mx: 0.14 foff_est: 15.0 timing_valid: 0 post +53: 139 nin: 880 st: search ct_est: 754 nin: 880 mx: 0.18 foff_est: 2.0 timing_valid: 0 post 52: 346 nin: 880 st: search ct_est: 342 nin: 880 mx: 0.22 foff_est: 29.0 timing_valid: 0 post -61: .....x......x..........x...........................x....written: 51200 -53: 172 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.19 foff_est: 17.0 timing_valid: 0 post +53: 140 nin: 880 st: search ct_est: 412 nin: 880 mx: 0.21 foff_est: 20.0 timing_valid: 0 pre +53: 141 nin: 880 st: search ct_est: 122 nin: 880 mx: 0.26 foff_est: -38.0 timing_valid: 0 pre 52: 347 nin: 880 st: search ct_est: 132 nin: 880 mx: 0.19 foff_est: -20.0 timing_valid: 0 post -53: 173 nin: 880 st: search ct_est: 138 nin: 880 mx: 0.20 foff_est: 15.0 timing_valid: 0 post -61: ........................x.................x...........................x.....measured double sided (real) noise power: -0.882298 +53: 142 nin: 880 st: search ct_est: 838 nin: 880 mx: 0.22 foff_est: 29.0 timing_valid: 0 post +53: 143 nin: 880 st: search ct_est: 805 nin: 880 mx: 0.25 foff_est: 4.0 timing_valid: 0 pre 52: 348 nin: 880 st: search ct_est: 831 nin: 880 mx: 0.17 foff_est: 45.0 timing_valid: 0 pre -61: ....................................................................total iters 4955 -61: Raw Tbits: 51200 Terr: 4631 BER: 0.090 -61: Coded Tbits: 25600 Terr: 102 BER: 0.004 -61: Tpkts: 200 Tper: 7 PER: 0.035 - 59/155 Test #61: test_ldpc_enc_dec_H_128_256_5 .................. Passed 0.80 sec -test 62 - Start 62: test_ldpc_enc_dec_H_4096_8192_3d - -62: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_4096_8192_3d --testframes 100 | - ./ldpc_noise - - 0.0 | - ./ldpc_dec - /dev/null --code H_4096_8192_3d --sd --testframes" -62: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -62: Test timeout computed to be: 1500 -62: Using: H_4096_8192_3d -62: Nframes: 100 -62: Using: H_4096_8192_3d -62: CodeLength: 8192 offset: 0 -53: 174 nin: 880 st: search ct_est: 782 nin: 880 mx: 0.33 foff_est: 2.0 timing_valid: 0 post -62: Uncoded PSK Eb/No simulation: -62: No = 0.00 dB (1.00 linear) -62: Eb = 0.00 dB (1.00 linear) -62: Eb/No = -0.00 dB (1.00 linear) +53: 144 nin: 880 st: search ct_est: 462 nin: 880 mx: 0.20 foff_est: 11.0 timing_valid: 0 pre +53: 145 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.34 foff_est: 2.0 timing_valid: 0 post 52: 349 nin: 880 st: search ct_est: 80 nin: 959 mx: 0.94 foff_est: 0.0 timing_valid: 1 pre 52: 350 nin: 959 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 52: 351 nin: 880 st: trial euw: 14 2 mf: 0 f: -0.0 pbw: 1 @@ -12810,99 +12832,76 @@ 52: 366 nin: 880 st: synced euw: 17 3 mf: 17 f: -0.0 pbw: 1 52: 367 nin: 880 st: synced euw: 18 3 mf: 18 f: -0.0 pbw: 1 52: 368 nin: 880 st: synced euw: 18 3 mf: 19 f: 0.0 pbw: 1 -53: 175 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre -53: 176 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 52: 369 nin: 880 st: synced euw: 16 3 mf: 20 f: -0.0 pbw: 1 52: 370 nin: 880 st: synced euw: 11 3 mf: 21 f: -0.0 pbw: 1 52: 371 nin: 880 st: synced euw: 15 3 mf: 22 f: 0.0 pbw: 1 52: 372 nin: 880 st: synced euw: 13 3 mf: 23 f: 0.0 pbw: 1 52: 373 nin: 880 st: synced euw: 15 3 mf: 24 f: 0.0 pbw: 1 -53: 177 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 -53: 178 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 -53: 179 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 -53: 180 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 52: 374 nin: 880 st: synced euw: 18 3 mf: 25 f: 0.0 pbw: 1 52: 375 nin: 880 st: synced euw: 18 3 mf: 26 f: -0.0 pbw: 1 52: 376 nin: 880 st: synced euw: 19 3 mf: 27 f: 0.0 pbw: 1 52: 377 nin: 880 st: synced euw: 17 3 mf: 28 f: 0.0 pbw: 1 -53: 181 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 -53: 182 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 183 nin: 880 st: synced euw: 24 5 mf: 8 f: -0.0 pbw: 1 52: 378 nin: 880 st: synced euw: 15 3 mf: 29 f: 0.0 pbw: 1 52: 379 nin: 880 st: synced euw: 18 3 mf: 30 f: 0.0 pbw: 1 -53: 184 nin: 880 st: synced Tx postamble -53: euw: 23 5 mf: 9 f: 0.0 pbw: 1 52: 380 nin: 880 st: synced euw: 18 3 mf: 31 f: -0.0 pbw: 1 52: 381 nin: 880 st: synced euw: 15 3 mf: 32 f: -0.0 pbw: 1 52: 382 nin: 880 st: synced euw: 19 3 mf: 33 f: 0.0 pbw: 1 -53: 185 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 -53: 186 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 -53: 187 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 52: 383 nin: 880 st: synced euw: 16 3 mf: 34 f: -0.0 pbw: 1 52: 384 nin: 880 st: synced euw: 15 3 mf: 35 f: -0.0 pbw: 1 -53: 188 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 189 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 52: 385 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 52: 386 nin: 880 st: synced euw: 21 3 mf: 37 f: 0.0 pbw: 1 -53: 190 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 -53: 191 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 -53: 192 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 52: 387 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 52: 388 nin: 880 st: synced euw: 13 3 mf: 39 f: -0.0 pbw: 1 52: 389 nin: 880 st: synced euw: 15 3 mf: 40 f: -0.0 pbw: 1 52: 390 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 +53: 146 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre +53: 147 nin: 960 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 +53: 148 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +53: 149 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 +53: 150 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 52: 391 nin: 880 st: synced euw: 12 3 mf: 42 f: 0.0 pbw: 1 -52: 392 nin: 880 st: synced Tx postamble -53: 193 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.28 -53: 194 nin: 880 st: search Tx preamble -52: euw: 11 3 mf: 43 f: 0.0 pbw: 1 +52: 392 nin: 880 st: synced euw: 11 3 mf: 43 f: 0.0 pbw: 1 52: 393 nin: 880 st: synced euw: 12 3 mf: 44 f: -0.0 pbw: 1 -52: 394 nin: 880 st: synced euw: 15 3 mf: 45 f: 0.0 pbw: 1 +52: 394 nin: 880 st: synced Tx postamble +52: euw: 15 3 mf: 45 f: 0.0 pbw: 1 52: 395 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 52: 396 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 2.55 +53: 151 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 +53: 152 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 +53: 153 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 154 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 +53: 155 nin: 880 st: synced Tx postamble +53: euw: 23 5 mf: 9 f: -0.0 pbw: 1 +53: 156 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 +53: 157 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 +53: 158 nin: 880 st: synced euw: 19 5 mf: 12 f: 0.0 pbw: 1 +53: 159 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 52: 397 nin: 880 st: search Tx preamble -53: ct_est: 882 nin: 880 mx: 0.11 foff_est: 12.0 timing_valid: 0 pre +53: 160 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 161 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 162 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 163 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.1 pbw: 1 +53: 164 nin: 880 st: synced Tx preamble +53: euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 3.25 52: ct_est: 722 nin: 880 mx: 0.13 foff_est: 13.0 timing_valid: 0 pre -53: 195 nin: 880 st: search ct_est: 493 nin: 880 mx: 0.16 foff_est: 3.0 timing_valid: 0 pre 52: 398 nin: 880 st: search ct_est: 710 nin: 880 mx: 0.15 foff_est: 1.0 timing_valid: 0 pre -53: 196 nin: 880 st: search ct_est: 16 nin: 880 mx: 0.25 foff_est: 52.0 timing_valid: 0 pre +53: 165 nin: 880 st: search ct_est: 881 nin: 880 mx: 0.15 foff_est: -26.0 timing_valid: 0 post 52: 399 nin: 880 st: search ct_est: 822 nin: 880 mx: 0.20 foff_est: -21.0 timing_valid: 0 post -53: 197 nin: 880 st: search ct_est: 308 nin: 880 mx: 0.18 foff_est: -6.0 timing_valid: 0 post 52: 400 nin: 880 st: search ct_est: 11 nin: 880 mx: 0.24 foff_est: 26.0 timing_valid: 0 post -53: 198 nin: 880 st: search ct_est: 642 nin: 880 mx: 0.23 foff_est: -10.0 timing_valid: 0 pre +53: 166 nin: 880 st: search ct_est: 430 nin: 880 mx: 0.28 foff_est: -49.0 timing_valid: 0 post 52: 401 nin: 880 st: search ct_est: 393 nin: 880 mx: 0.21 foff_est: 30.0 timing_valid: 0 pre -53: 199 nin: 880 st: search ct_est: 202 nin: 880 mx: 0.17 foff_est: -40.0 timing_valid: 0 post +53: 167 nin: 880 st: search ct_est: 438 nin: 880 mx: 0.21 foff_est: -11.0 timing_valid: 0 post +53: 168 nin: 880 st: search ct_est: 494 nin: 880 mx: 0.17 foff_est: 20.0 timing_valid: 0 post 52: 402 nin: 880 st: search ct_est: 119 nin: 880 mx: 0.14 foff_est: 11.0 timing_valid: 0 post -53: 200 nin: 880 st: search ct_est: 503 nin: 880 mx: 0.18 foff_est: -6.0 timing_valid: 0 post -53: 201 nin: 880 st: search ct_est: 855 nin: 880 mx: 0.25 foff_est: 29.0 timing_valid: 0 pre +53: 169 nin: 880 st: search ct_est: 697 nin: 880 mx: 0.19 foff_est: -16.0 timing_valid: 0 pre 52: 403 nin: 880 st: search ct_est: 285 nin: 880 mx: 0.22 foff_est: 31.0 timing_valid: 0 post -53: 202 nin: 880 st: search ct_est: 418 nin: 880 mx: 0.26 foff_est: 7.0 timing_valid: 0 post 52: 404 nin: 880 st: search ct_est: 801 nin: 880 mx: 0.25 foff_est: -4.0 timing_valid: 0 pre -53: 203 nin: 880 st: search ct_est: 786 nin: 880 mx: 0.28 foff_est: 2.0 timing_valid: 0 post +53: 170 nin: 880 st: search ct_est: 43 nin: 880 mx: 0.25 foff_est: -31.0 timing_valid: 0 pre +53: 171 nin: 880 st: search ct_est: 373 nin: 880 mx: 0.14 foff_est: 15.0 timing_valid: 0 post 52: 405 nin: 880 st: search ct_est: 185 nin: 880 mx: 0.17 foff_est: 29.0 timing_valid: 0 pre -53: 204 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.93 foff_est: 0.0 timing_valid: 1 pre -53: 205 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 -53: 206 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 -53: 207 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 -53: 208 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 -53: 209 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 -53: 210 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 -53: 211 nin: 880 st: synced Tx postamble -53: euw: 12 5 mf: 7 f: -0.0 pbw: 1 -53: 212 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 213 nin: 880 st: synced euw: 23 5 mf: 9 f: -0.0 pbw: 1 -53: 214 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -53: 215 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 -53: 216 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 -53: 217 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 218 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 -53: 219 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 -53: 220 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 221 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 -53: 222 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.56 -53: 223 nin: 880 st: search ct_est: 628 nin: 880 mx: 0.17 foff_est: -40.0 timing_valid: 0 pre +53: 172 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.19 foff_est: 17.0 timing_valid: 0 post 52: 406 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.17 foff_est: 45.0 timing_valid: 0 pre -53: 224 nin: 880 st: search ct_est: 673 nin: 880 mx: 0.23 foff_est: -1.0 timing_valid: 0 post +53: 173 nin: 880 st: search ct_est: 138 nin: 880 mx: 0.20 foff_est: 15.0 timing_valid: 0 post 52: 407 nin: 880 st: search ct_est: 82 nin: 961 mx: 0.94 foff_est: 0.0 timing_valid: 1 pre 52: 408 nin: 961 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 52: 409 nin: 880 st: trial euw: 14 2 mf: 0 f: 0.0 pbw: 1 @@ -12941,8 +12940,7 @@ 52: 442 nin: 880 st: synced euw: 15 3 mf: 35 f: -0.0 pbw: 1 52: 443 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 52: 444 nin: 880 st: synced euw: 21 3 mf: 37 f: 0.0 pbw: 1 -52: 445 nin: 880 st: synced Tx postamble -52: euw: 16 3 mf: 38 f: 0.0 pbw: 1 +52: 445 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 52: 446 nin: 880 st: synced euw: 13 3 mf: 39 f: -0.0 pbw: 1 52: 447 nin: 880 st: synced euw: 15 3 mf: 40 f: -0.0 pbw: 1 52: 448 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 @@ -12952,56 +12950,80 @@ 52: 452 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 453 nin: 880 st: synced euw: 14 3 mf: 46 f: 0.0 pbw: 1 52: 454 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 2.00 -52: 455 nin: 880 st: search Tx preamble -53: 225 nin: 880 st: search ct_est: 547 nin: 880 mx: 0.20 foff_est: 24.0 timing_valid: 0 pre +53: 174 nin: 880 st: search ct_est: 782 nin: 880 mx: 0.33 foff_est: 2.0 timing_valid: 0 post +52: 455 nin: 880 st: search Tx postamble +52: Tx preamble 52: ct_est: 879 nin: 880 mx: 0.18 foff_est: 46.0 timing_valid: 0 post -53: 226 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.23 foff_est: -27.0 timing_valid: 0 post +53: 175 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre +53: 176 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 177 nin: 880 st: trial euw: 25 2 mf: 0 f: -0.0 pbw: 1 +53: 178 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 +53: 179 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 +53: 180 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 +53: 181 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 +53: 182 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 183 nin: 880 st: synced Tx postamble +53: euw: 24 5 mf: 8 f: -0.0 pbw: 1 +53: 184 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 185 nin: 880 st: synced euw: 18 5 mf: 10 f: 0.0 pbw: 1 +53: 186 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 +53: 187 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 +53: 188 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 189 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 190 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 +53: 191 nin: 880 st: synced euw: 25 5 mf: 16 f: 0.0 pbw: 1 +53: 192 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 +53: 193 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.28 +53: 194 nin: 880 st: search Tx preamble 52: 456 nin: 880 st: search ct_est: 820 nin: 880 mx: 0.23 foff_est: 13.0 timing_valid: 0 post +63: .......written: 162000 +53: ct_est: 882 nin: 880 mx: 0.11 foff_est: 12.0 timing_valid: 0 pre 52: 457 nin: 880 st: search ct_est: 644 nin: 880 mx: 0.28 foff_est: -8.0 timing_valid: 0 post -53: 227 nin: 880 st: search ct_est: 250 nin: 880 mx: 0.29 foff_est: -27.0 timing_valid: 0 post +53: 195 nin: 880 st: search ct_est: 493 nin: 880 mx: 0.16 foff_est: 3.0 timing_valid: 0 pre 52: 458 nin: 880 st: search ct_est: 739 nin: 880 mx: 0.21 foff_est: 7.0 timing_valid: 0 pre -53: 228 nin: 880 st: search ct_est: 62 nin: 880 mx: 0.20 foff_est: 14.0 timing_valid: 0 post +53: 196 nin: 880 st: search ct_est: 16 nin: 880 mx: 0.25 foff_est: 52.0 timing_valid: 0 pre 52: 459 nin: 880 st: search ct_est: -2 nin: 880 mx: 0.19 foff_est: -21.0 timing_valid: 0 pre +53: 197 nin: 880 st: search ct_est: 308 nin: 880 mx: 0.18 foff_est: -6.0 timing_valid: 0 post 52: 460 nin: 880 st: search ct_est: 872 nin: 880 mx: 0.18 foff_est: 4.0 timing_valid: 0 pre -53: 229 nin: 880 st: search ct_est: 286 nin: 880 mx: 0.21 foff_est: 21.0 timing_valid: 0 post +53: 198 nin: 880 st: search ct_est: 642 nin: 880 mx: 0.23 foff_est: -10.0 timing_valid: 0 pre 52: 461 nin: 880 st: search ct_est: 360 nin: 880 mx: 0.25 foff_est: 3.0 timing_valid: 0 post -53: 230 nin: 880 st: search ct_est: 732 nin: 880 mx: 0.19 foff_est: -50.0 timing_valid: 0 post +53: 199 nin: 880 st: search ct_est: 202 nin: 880 mx: 0.17 foff_est: -40.0 timing_valid: 0 post 52: 462 nin: 880 st: search ct_est: 786 nin: 880 mx: 0.24 foff_est: -29.0 timing_valid: 0 post -53: 231 nin: 880 st: search ct_est: 430 nin: 880 mx: 0.20 foff_est: 32.0 timing_valid: 0 post +53: 200 nin: 880 st: search ct_est: 503 nin: 880 mx: 0.18 foff_est: -6.0 timing_valid: 0 post 52: 463 nin: 880 st: search ct_est: 51 nin: 880 mx: 0.19 foff_est: -30.0 timing_valid: 0 pre -53: 232 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.36 foff_est: 2.0 timing_valid: 0 post +53: 201 nin: 880 st: search ct_est: 855 nin: 880 mx: 0.25 foff_est: 29.0 timing_valid: 0 pre +53: 202 nin: 880 st: search ct_est: 418 nin: 880 mx: 0.26 foff_est: 7.0 timing_valid: 0 post 52: 464 nin: 880 st: search ct_est: 756 nin: 880 mx: 0.19 foff_est: 5.0 timing_valid: 0 pre -53: 233 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre -53: 234 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 -53: 235 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 -53: 236 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 -53: 237 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 -53: 238 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 -53: 239 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 -53: 240 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 -53: 241 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 242 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +63: .measured double sided (real) noise power: 30.994756 +53: 203 nin: 880 st: search ct_est: 786 nin: 880 mx: 0.28 foff_est: 2.0 timing_valid: 0 post 52: 465 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.92 foff_est: 0.0 timing_valid: 1 pre 52: 466 nin: 960 st: trial euw: 12 1 mf: 0 f: 0.0 pbw: 1 -53: 243 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -53: 244 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 -53: 245 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 -53: 246 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 -53: 247 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 52: 467 nin: 880 st: trial euw: 14 2 mf: 0 f: -0.0 pbw: 1 52: 468 nin: 880 st: trial euw: 0 3 mf: 3 f: 0.0 pbw: 1 52: 469 nin: 880 st: synced euw: 19 3 mf: 4 f: -0.0 pbw: 1 52: 470 nin: 880 st: synced euw: 18 3 mf: 5 f: 0.0 pbw: 1 52: 471 nin: 880 st: synced euw: 20 3 mf: 6 f: -0.0 pbw: 1 -53: 248 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 249 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 250 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 -53: 251 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 1.39 +53: 204 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.93 foff_est: 0.0 timing_valid: 1 pre +53: 205 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 206 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 +53: 207 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 +53: 208 nin: 880 st: trial euw: 32 4 mf: 0 f: 0.0 pbw: 1 52: 472 nin: 880 st: synced euw: 17 3 mf: 7 f: -0.0 pbw: 1 52: 473 nin: 880 st: synced euw: 12 3 mf: 8 f: 0.0 pbw: 1 52: 474 nin: 880 st: synced euw: 16 3 mf: 9 f: 0.0 pbw: 1 52: 475 nin: 880 st: synced euw: 15 3 mf: 10 f: -0.0 pbw: 1 52: 476 nin: 880 st: synced euw: 18 3 mf: 11 f: 0.0 pbw: 1 +53: 209 nin: 880 st: trial Tx postamble +53: euw: 0 5 mf: 5 f: -0.0 pbw: 1 +53: 210 nin: 880 st: synced euw: 31 5 mf: 6 f: 0.0 pbw: 1 +53: 211 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 +53: 212 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 +53: 213 nin: 880 st: synced euw: 23 5 mf: 9 f: -0.0 pbw: 1 +53: 214 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 215 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 +53: 216 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 +53: 217 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 218 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 52: 477 nin: 880 st: synced euw: 15 3 mf: 12 f: 0.0 pbw: 1 52: 478 nin: 880 st: synced euw: 17 3 mf: 13 f: -0.0 pbw: 1 52: 479 nin: 880 st: synced euw: 20 3 mf: 14 f: 0.0 pbw: 1 @@ -13010,6 +13032,10 @@ 52: 482 nin: 880 st: synced euw: 17 3 mf: 17 f: 0.0 pbw: 1 52: 483 nin: 880 st: synced euw: 18 3 mf: 18 f: -0.0 pbw: 1 52: 484 nin: 880 st: synced euw: 18 3 mf: 19 f: -0.0 pbw: 1 +53: 219 nin: 880 st: synced euw: 21 5 mf: 15 f: 0.0 pbw: 1 +53: 220 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 221 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 +53: 222 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.56 52: 485 nin: 880 st: synced euw: 16 3 mf: 20 f: 0.0 pbw: 1 52: 486 nin: 880 st: synced euw: 11 3 mf: 21 f: -0.0 pbw: 1 52: 487 nin: 880 st: synced euw: 15 3 mf: 22 f: -0.0 pbw: 1 @@ -13023,14 +13049,14 @@ 52: 495 nin: 880 st: synced euw: 18 3 mf: 30 f: 0.0 pbw: 1 52: 496 nin: 880 st: synced euw: 18 3 mf: 31 f: -0.0 pbw: 1 52: 497 nin: 880 st: synced euw: 15 3 mf: 32 f: 0.0 pbw: 1 -52: 498 nin: 880 st: synced euw: 19 3 mf: 33 f: 0.0 pbw: 1 +52: 498 nin: 880 st: synced Tx postamble +52: euw: 19 3 mf: 33 f: 0.0 pbw: 1 52: 499 nin: 880 st: synced euw: 16 3 mf: 34 f: -0.0 pbw: 1 52: 500 nin: 880 st: synced euw: 15 3 mf: 35 f: -0.0 pbw: 1 52: 501 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 52: 502 nin: 880 st: synced euw: 21 3 mf: 37 f: -0.0 pbw: 1 52: 503 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 -52: 504 nin: 880 st: synced Tx postamble -52: euw: 13 3 mf: 39 f: -0.0 pbw: 1 +52: 504 nin: 880 st: synced euw: 13 3 mf: 39 f: -0.0 pbw: 1 52: 505 nin: 880 st: synced euw: 15 3 mf: 40 f: 0.0 pbw: 1 52: 506 nin: 880 st: synced euw: 16 3 mf: 41 f: -0.0 pbw: 1 52: 507 nin: 880 st: synced euw: 12 3 mf: 42 f: -0.0 pbw: 1 @@ -13038,49 +13064,49 @@ 52: 509 nin: 880 st: synced euw: 12 3 mf: 44 f: -0.0 pbw: 1 52: 510 nin: 880 st: synced euw: 15 3 mf: 45 f: -0.0 pbw: 1 52: 511 nin: 880 st: synced euw: 14 3 mf: 46 f: 0.0 pbw: 1 -53: 252 nin: 880 st: search ct_est: 882 nin: 880 mx: 0.12 foff_est: 36.0 timing_valid: 0 pre 52: 512 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 2.32 -53: 253 nin: 880 st: search ct_est: 444 nin: 880 mx: 0.20 foff_est: 17.0 timing_valid: 0 post -53: 254 nin: 880 st: search ch: SNR3k(dB): 1.70 C/No....: 36.48 -53: ch: peak.....: 18189.06 RMS.....: 9415.67 CPAPR.....: 5.72 -53: ch: Nsamples.: 256000 clipped.: 0.00% OutClipped: 0.00% +53: 223 nin: 880 st: search ct_est: 628 nin: 880 mx: 0.17 foff_est: -40.0 timing_valid: 0 pre +53: 224 nin: 880 st: search ct_est: 673 nin: 880 mx: 0.23 foff_est: -1.0 timing_valid: 0 post 52: 513 nin: 880 st: search ct_est: 832 nin: 880 mx: 0.08 foff_est: -13.0 timing_valid: 0 pre -53: ct_est: 651 nin: 880 mx: 0.29 foff_est: 45.0 timing_valid: 0 pre +53: 225 nin: 880 st: search ct_est: 547 nin: 880 mx: 0.20 foff_est: 24.0 timing_valid: 0 pre 52: 514 nin: 880 st: search ct_est: 785 nin: 880 mx: 0.18 foff_est: 15.0 timing_valid: 0 pre +53: 226 nin: 880 st: search ct_est: 834 nin: 880 mx: 0.23 foff_est: -27.0 timing_valid: 0 post 52: 515 nin: 880 st: search ct_est: 694 nin: 880 mx: 0.27 foff_est: -14.0 timing_valid: 0 post -53: 255 nin: 880 st: search ct_est: 444 nin: 880 mx: 0.22 foff_est: 14.0 timing_valid: 0 post -53: 256 nin: 880 st: search ct_est: 219 nin: 880 mx: 0.26 foff_est: -29.0 timing_valid: 0 post 52: 516 nin: 880 st: search ct_est: 486 nin: 880 mx: 0.19 foff_est: 46.0 timing_valid: 0 post +53: 227 nin: 880 st: search ct_est: 250 nin: 880 mx: 0.29 foff_est: -27.0 timing_valid: 0 post 52: 517 nin: 880 st: search ct_est: 134 nin: 880 mx: 0.21 foff_est: 37.0 timing_valid: 0 post -53: 257 nin: 880 st: search ct_est: 19 nin: 880 mx: 0.20 foff_est: 3.0 timing_valid: 0 post +63: ..total iters 704 +63: Raw Tbits: 162000 Terr: 14812 BER: 0.091 +63: Coded Tbits: 97200 Terr: 0 BER: 0.000 +63: Tpkts: 10 Tper: 0 PER: 0.000 + 60/155 Test #63: test_ldpc_enc_dec_H_16200_9720 ................. Passed 4.95 sec +test 64 + Start 64: test_ldpc_enc_dec_H_1024_2048_4f + +64: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ldpc_enc /dev/zero - --sd --code H_1024_2048_4f --testframes 100 | + ./ldpc_noise - - 0.0 | + ./ldpc_dec - /dev/null --code H_1024_2048_4f --sd --testframes" +64: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +64: Test timeout computed to be: 1500 +64: Uncoded PSK Eb/No simulation: +64: No = 0.00 dB (1.00 linear) +64: Eb = 0.00 dB (1.00 linear) +64: Eb/No = -0.00 dB (1.00 linear) +64: Using: H_1024_2048_4f +64: Nframes: 100 +64: Using: H_1024_2048_4f +64: CodeLength: 2048 offset: 0 +53: 228 nin: 880 st: search ct_est: 62 nin: 880 mx: 0.20 foff_est: 14.0 timing_valid: 0 post 52: 518 nin: 880 st: search ct_est: 520 nin: 880 mx: 0.21 foff_est: -42.0 timing_valid: 0 pre -53: 258 nin: 880 st: search ct_est: 652 nin: 880 mx: 0.21 foff_est: -19.0 timing_valid: 0 pre +53: 229 nin: 880 st: search ct_est: 286 nin: 880 mx: 0.21 foff_est: 21.0 timing_valid: 0 post +53: 230 nin: 880 st: search ct_est: 732 nin: 880 mx: 0.19 foff_est: -50.0 timing_valid: 0 post 52: 519 nin: 880 st: search ct_est: 669 nin: 880 mx: 0.24 foff_est: -52.0 timing_valid: 0 post -53: 259 nin: 880 st: search ct_est: 487 nin: 880 mx: 0.22 foff_est: -19.0 timing_valid: 0 pre 52: 520 nin: 880 st: search ct_est: 605 nin: 880 mx: 0.21 foff_est: -19.0 timing_valid: 0 post -53: 260 nin: 880 st: search ct_est: 387 nin: 880 mx: 0.18 foff_est: 24.0 timing_valid: 0 pre -53: 261 nin: 880 st: search ct_est: 781 nin: 880 mx: 0.27 foff_est: 2.0 timing_valid: 0 post +53: 231 nin: 880 st: search ct_est: 430 nin: 880 mx: 0.20 foff_est: 32.0 timing_valid: 0 post 52: 521 nin: 880 st: search ct_est: 193 nin: 880 mx: 0.22 foff_est: -5.0 timing_valid: 0 post -53: 262 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre -53: 263 nin: 960 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 -53: 264 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 -53: 265 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 -53: 266 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 -53: 267 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 -53: 268 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 -53: 269 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 -53: 270 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 -53: 271 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 -53: 272 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 -53: 273 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 -53: 274 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 -53: 275 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 -53: 276 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 -53: 277 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 -53: 278 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 -53: 279 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 -53: 280 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.76 52: 522 nin: 880 st: search ct_est: 756 nin: 880 mx: 0.18 foff_est: 7.0 timing_valid: 0 pre +53: 232 nin: 880 st: search ct_est: 784 nin: 880 mx: 0.36 foff_est: 2.0 timing_valid: 0 post 52: 523 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.93 foff_est: 0.0 timing_valid: 1 pre 52: 524 nin: 960 st: trial euw: 12 1 mf: 0 f: -0.0 pbw: 1 52: 525 nin: 880 st: trial euw: 14 2 mf: 0 f: -0.0 pbw: 1 @@ -13098,29 +13124,46 @@ 52: 537 nin: 880 st: synced euw: 20 3 mf: 14 f: 0.0 pbw: 1 52: 538 nin: 880 st: synced euw: 17 3 mf: 15 f: -0.0 pbw: 1 52: 539 nin: 880 st: synced euw: 17 3 mf: 16 f: 0.0 pbw: 1 +53: 233 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.90 foff_est: 0.0 timing_valid: 1 pre +53: 234 nin: 960 st: trial euw: 25 1 mf: 0 f: 0.0 pbw: 1 +53: 235 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 +53: 236 nin: 880 st: trial euw: 14 3 mf: 0 f: -0.0 pbw: 1 +53: 237 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 52: 540 nin: 880 st: synced euw: 17 3 mf: 17 f: -0.0 pbw: 1 -53: 281 nin: 880 st: search ct_est: 866 nin: 880 mx: 0.15 foff_est: 14.0 timing_valid: 0 post 52: 541 nin: 880 st: synced euw: 18 3 mf: 18 f: -0.0 pbw: 1 52: 542 nin: 880 st: synced euw: 18 3 mf: 19 f: 0.0 pbw: 1 52: 543 nin: 880 st: synced euw: 16 3 mf: 20 f: 0.0 pbw: 1 52: 544 nin: 880 st: synced euw: 11 3 mf: 21 f: 0.0 pbw: 1 52: 545 nin: 880 st: synced euw: 15 3 mf: 22 f: 0.0 pbw: 1 -52: 546 nin: 880 st: synced euw: 13 3 mf: 23 f: 0.0 pbw: 1 +53: 238 nin: 880 st: trial euw: 0 5 mf: 5 f: -0.0 pbw: 1 +53: 239 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 +53: 240 nin: 880 st: synced euw: 12 5 mf: 7 f: -0.0 pbw: 1 +52: 546 nin: 880 st: synced ch: SNR3k(dB): 1.84 C/No....: 36.61 +52: ch: peak.....: 16458.95 RMS.....: 9561.13 CPAPR.....: 4.72 +52: ch: Nsamples.: 511200 clipped.: 0.00% OutClipped: 0.00% +52: euw: 13 3 mf: 23 f: 0.0 pbw: 1 52: 547 nin: 880 st: synced euw: 15 3 mf: 24 f: 0.0 pbw: 1 52: 548 nin: 880 st: synced euw: 18 3 mf: 25 f: 0.0 pbw: 1 52: 549 nin: 880 st: synced euw: 18 3 mf: 26 f: -0.0 pbw: 1 +53: 241 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 52: 550 nin: 880 st: synced euw: 19 3 mf: 27 f: -0.0 pbw: 1 -52: 551 nin: 880 st: synced ch: SNR3k(dB): 1.84 C/No....: 36.61 -52: ch: peak.....: 16458.95 RMS.....: 9561.13 CPAPR.....: 4.72 -52: ch: Nsamples.: 511200 clipped.: 0.00% OutClipped: 0.00% -52: euw: 17 3 mf: 28 f: -0.0 pbw: 1 +52: 551 nin: 880 st: synced euw: 17 3 mf: 28 f: -0.0 pbw: 1 52: 552 nin: 880 st: synced euw: 15 3 mf: 29 f: 0.0 pbw: 1 52: 553 nin: 880 st: synced euw: 18 3 mf: 30 f: -0.0 pbw: 1 +53: 242 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 243 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 244 nin: 880 st: synced euw: 27 5 mf: 11 f: 0.0 pbw: 1 +53: 245 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 52: 554 nin: 880 st: synced euw: 18 3 mf: 31 f: -0.0 pbw: 1 52: 555 nin: 880 st: synced euw: 15 3 mf: 32 f: 0.0 pbw: 1 52: 556 nin: 880 st: synced euw: 19 3 mf: 33 f: 0.0 pbw: 1 52: 557 nin: 880 st: synced euw: 16 3 mf: 34 f: 0.0 pbw: 1 52: 558 nin: 880 st: synced euw: 15 3 mf: 35 f: 0.0 pbw: 1 +53: 246 nin: 880 st: synced euw: 29 5 mf: 13 f: 0.0 pbw: 1 +53: 247 nin: 880 st: synced euw: 24 5 mf: 14 f: 0.0 pbw: 1 +53: 248 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 249 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 250 nin: 880 st: synced euw: 19 5 mf: 17 f: -0.0 pbw: 1 52: 559 nin: 880 st: synced euw: 12 3 mf: 36 f: -0.0 pbw: 1 52: 560 nin: 880 st: synced euw: 21 3 mf: 37 f: 0.0 pbw: 1 52: 561 nin: 880 st: synced euw: 16 3 mf: 38 f: 0.0 pbw: 1 @@ -13132,73 +13175,29 @@ 52: 567 nin: 880 st: synced euw: 12 3 mf: 44 f: -0.0 pbw: 1 52: 568 nin: 880 st: synced euw: 15 3 mf: 45 f: 0.0 pbw: 1 52: 569 nin: 880 st: synced euw: 14 3 mf: 46 f: -0.0 pbw: 1 +53: 251 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 1.39 52: 570 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 snr: 1.37 +53: 252 nin: 880 st: search ct_est: 882 nin: 880 mx: 0.12 foff_est: 36.0 timing_valid: 0 pre 52: 571 nin: 880 st: search ct_est: 858 nin: 880 mx: 0.23 foff_est: 30.0 timing_valid: 0 pre -53: 282 nin: 880 st: search ct_est: 593 nin: 880 mx: 0.25 foff_est: -52.0 timing_valid: 0 post -53: 283 nin: 880 st: search ct_est: 636 nin: 880 mx: 0.16 foff_est: 47.0 timing_valid: 0 post +53: 253 nin: 880 st: search ct_est: 444 nin: 880 mx: 0.20 foff_est: 17.0 timing_valid: 0 post +53: 254 nin: 880 st: search ch: SNR3k(dB): 1.70 C/No....: 36.48 +53: ch: peak.....: 18189.06 RMS.....: 9415.67 CPAPR.....: 5.72 +53: ch: Nsamples.: 256000 clipped.: 0.00% OutClipped: 0.00% 52: 572 nin: 880 st: search ct_est: 181 nin: 880 mx: 0.20 foff_est: 23.0 timing_valid: 0 pre -53: 284 nin: 880 st: search ct_est: 587 nin: 880 mx: 0.22 foff_est: 26.0 timing_valid: 0 post -53: 285 nin: 880 st: search ct_est: 727 nin: 880 mx: 0.19 foff_est: 24.0 timing_valid: 0 pre 52: 573 nin: 880 st: search ct_est: 605 nin: 880 mx: 0.25 foff_est: 1.0 timing_valid: 0 pre -53: 286 nin: 880 st: search ct_est: 230 nin: 880 mx: 0.17 foff_est: -39.0 timing_valid: 0 post +53: ct_est: 651 nin: 880 mx: 0.29 foff_est: 45.0 timing_valid: 0 pre 52: 574 nin: 880 st: search ct_est: 721 nin: 880 mx: 0.23 foff_est: 31.0 timing_valid: 0 post -53: 287 nin: 880 st: search ct_est: 482 nin: 880 mx: 0.15 foff_est: 24.0 timing_valid: 0 pre -52: 575 nin: 880 st: search ct_est: 44 nin: 880 mx: 0.21 foff_est: -35.0 timing_valid: 0 post -53: 288 nin: 880 st: search ct_est: 734 nin: 880 mx: 0.19 foff_est: -27.0 timing_valid: 0 post -53: BER......: 0.0000 Tbits: 3840 Terrs: 0 Tpackets: 10 SNR3kdB: 2.52 -53: Coded BER: 0.0000 Tbits: 1280 Terrs: 0 -53: Coded PER: 0.0000 Tpkts: 10 Tpers: 0 Thruput: 10 -53: Npre.....: 10 Npost: 0 uw_fails: 0 - 60/155 Test #53: test_OFDM_modem_datac13_ldpc_burst ............. Passed 24.59 sec -test 63 - Start 63: test_ldpc_enc_dec_H_16200_9720 - -63: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_16200_9720 --testframes 10 | - ./ldpc_noise - - 0.5 | - ./ldpc_dec - /dev/null --code H_16200_9720 --sd --testframes" -63: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -63: Test timeout computed to be: 1500 -63: Using: H_16200_9720 -63: Nframes: 10 -63: Uncoded PSK Eb/No simulation: -63: No = 0.50 dB (1.12 linear) -63: Eb = 0.00 dB (1.00 linear) -63: Eb/No = -0.50 dB (0.89 linear) -63: Using: H_16200_9720 -63: CodeLength: 16200 offset: 0 -52: 576 nin: 880 st: search ct_est: 702 nin: 880 mx: 0.19 foff_est: 1.0 timing_valid: 0 pre -52: 577 nin: 880 st: search ct_est: 8 nin: 880 mx: 0.22 foff_est: -31.0 timing_valid: 0 pre -52: 578 nin: 880 st: search ct_est: 406 nin: 880 mx: 0.19 foff_est: 38.0 timing_valid: 0 post -52: BER......: 0.0000 Tbits: 14720 Terrs: 0 Tpackets: 10 SNR3kdB: 1.90 -52: Coded BER: 0.0000 Tbits: 4480 Terrs: 0 -52: Coded PER: 0.0000 Tpkts: 10 Tpers: 0 Thruput: 10 -52: Npre.....: 10 Npost: 0 uw_fails: 0 - 61/155 Test #52: test_OFDM_modem_datac4_ldpc_burst .............. Passed 25.56 sec -test 64 - Start 64: test_ldpc_enc_dec_H_1024_2048_4f - -64: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ldpc_enc /dev/zero - --sd --code H_1024_2048_4f --testframes 100 | - ./ldpc_noise - - 0.0 | - ./ldpc_dec - /dev/null --code H_1024_2048_4f --sd --testframes" -64: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -64: Test timeout computed to be: 1500 -64: Using: H_1024_2048_4f -64: Nframes: 100 -64: Using: H_1024_2048_4f -64: CodeLength: 2048 offset: 0 -64: Uncoded PSK Eb/No simulation: -64: No = 0.00 dB (1.00 linear) -64: Eb = 0.00 dB (1.00 linear) -64: Eb/No = -0.00 dB (1.00 linear) +53: 255 nin: 880 st: search ct_est: 444 nin: 880 mx: 0.22 foff_est: 14.0 timing_valid: 0 post 64: ..................................................................................written: 204800 +53: 256 nin: 880 st: search ct_est: 219 nin: 880 mx: 0.26 foff_est: -29.0 timing_valid: 0 post +52: 575 nin: 880 st: search ct_est: 44 nin: 880 mx: 0.21 foff_est: -35.0 timing_valid: 0 post 64: .........measured double sided (real) noise power: -20.816887 +53: 257 nin: 880 st: search ct_est: 19 nin: 880 mx: 0.20 foff_est: 3.0 timing_valid: 0 post 64: .........total iters 2504 64: Raw Tbits: 204800 Terr: 16250 BER: 0.079 64: Coded Tbits: 102400 Terr: 0 BER: 0.000 64: Tpkts: 100 Tper: 0 PER: 0.000 - 62/155 Test #64: test_ldpc_enc_dec_H_1024_2048_4f ............... Passed 3.90 sec + 61/155 Test #64: test_ldpc_enc_dec_H_1024_2048_4f ............... Passed 1.55 sec test 65 Start 65: test_ldpc_enc_dec_H_2064_516_sparse @@ -13208,21 +13207,26 @@ ./ldpc_dec - /dev/null --code H_2064_516_sparse --sd --testframes" 65: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 65: Test timeout computed to be: 1500 +52: 576 nin: 880 st: search ct_est: 702 nin: 880 mx: 0.19 foff_est: 1.0 timing_valid: 0 pre 65: Using: H_2064_516_sparse -65: CodeLength: 2580 offset: 0 65: Using: H_2064_516_sparse 65: Nframes: 100 65: Uncoded PSK Eb/No simulation: 65: No = -2.00 dB (0.63 linear) 65: Eb = 0.00 dB (1.00 linear) 65: Eb/No = 2.00 dB (1.58 linear) -65: x.xx...x.x...xxxx...xxxx.xxxx.xxxx...xxxx...x.xx.xx.xxx.x......x.....xxxx....xxxxxxxxwritten: 258000 -65: x.xxxx..measured double sided (real) noise power: 9.824264 -65: xxx.x.xtotal iters 996 -65: Raw Tbits: 258000 Terr: 9659 BER: 0.037 -65: Coded Tbits: 206400 Terr: 742 BER: 0.004 -65: Tpkts: 100 Tper: 57 PER: 0.570 - 63/155 Test #65: test_ldpc_enc_dec_H_2064_516_sparse ............ Passed 1.57 sec +65: CodeLength: 2580 offset: 0 +53: 258 nin: 880 st: search ct_est: 652 nin: 880 mx: 0.21 foff_est: -19.0 timing_valid: 0 pre +53: 259 nin: 880 st: search ct_est: 487 nin: 880 mx: 0.22 foff_est: -19.0 timing_valid: 0 pre +52: 577 nin: 880 st: search ct_est: 8 nin: 880 mx: 0.22 foff_est: -31.0 timing_valid: 0 pre +53: 260 nin: 880 st: search ct_est: 387 nin: 880 mx: 0.18 foff_est: 24.0 timing_valid: 0 pre +53: 261 nin: 880 st: search ct_est: 781 nin: 880 mx: 0.27 foff_est: 2.0 timing_valid: 0 post +52: 578 nin: 880 st: search ct_est: 406 nin: 880 mx: 0.19 foff_est: 38.0 timing_valid: 0 post +52: BER......: 0.0000 Tbits: 14720 Terrs: 0 Tpackets: 10 SNR3kdB: 1.90 +52: Coded BER: 0.0000 Tbits: 4480 Terrs: 0 +52: Coded PER: 0.0000 Tpkts: 10 Tpers: 0 Thruput: 10 +52: Npre.....: 10 Npost: 0 uw_fails: 0 + 62/155 Test #52: test_OFDM_modem_datac4_ldpc_burst .............. Passed 13.64 sec test 66 Start 66: test_freedv_api_1600 @@ -13231,9 +13235,28 @@ cat 1600.txt" 66: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 66: Test timeout computed to be: 1500 +53: 262 nin: 880 st: search ct_est: 81 nin: 960 mx: 0.91 foff_est: 0.0 timing_valid: 1 pre +53: 263 nin: 960 st: trial euw: 25 1 mf: 0 f: -0.0 pbw: 1 +53: 264 nin: 880 st: trial euw: 25 2 mf: 0 f: 0.0 pbw: 1 +53: 265 nin: 880 st: trial euw: 14 3 mf: 0 f: 0.0 pbw: 1 +53: 266 nin: 880 st: trial euw: 32 4 mf: 0 f: -0.0 pbw: 1 +53: 267 nin: 880 st: trial euw: 0 5 mf: 5 f: 0.0 pbw: 1 +53: 268 nin: 880 st: synced euw: 31 5 mf: 6 f: -0.0 pbw: 1 +53: 269 nin: 880 st: synced euw: 12 5 mf: 7 f: 0.0 pbw: 1 +53: 270 nin: 880 st: synced euw: 24 5 mf: 8 f: 0.0 pbw: 1 +53: 271 nin: 880 st: synced euw: 23 5 mf: 9 f: 0.0 pbw: 1 +53: 272 nin: 880 st: synced euw: 18 5 mf: 10 f: -0.0 pbw: 1 +53: 273 nin: 880 st: synced euw: 27 5 mf: 11 f: -0.0 pbw: 1 +53: 274 nin: 880 st: synced euw: 19 5 mf: 12 f: -0.0 pbw: 1 +53: 275 nin: 880 st: synced euw: 29 5 mf: 13 f: -0.0 pbw: 1 +53: 276 nin: 880 st: synced euw: 24 5 mf: 14 f: -0.0 pbw: 1 +53: 277 nin: 880 st: synced euw: 21 5 mf: 15 f: -0.0 pbw: 1 +53: 278 nin: 880 st: synced euw: 25 5 mf: 16 f: -0.0 pbw: 1 +53: 279 nin: 880 st: synced euw: 19 5 mf: 17 f: 0.0 pbw: 1 +53: 280 nin: 880 st: synced euw: 20 5 mf: 0 f: 0.0 pbw: 1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 snr: 2.76 66: frames decoded: 503 output speech samples: 76800 66: nq cq cq hello world cq cq cq hello - 64/155 Test #66: test_freedv_api_1600 ........................... Passed 0.47 sec + 63/155 Test #66: test_freedv_api_1600 ........................... Passed 0.31 sec test 67 Start 67: test_freedv_api_700C @@ -13241,19 +13264,27 @@ ./freedv_tx 700C ../../raw/ve9qrp_10s.raw - | ./freedv_rx 700C - /dev/null" 67: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 67: Test timeout computed to be: 1500 -67: frames decoded: 125 output speech samples: 80000 - 65/155 Test #67: test_freedv_api_700C ........................... Passed 0.89 sec +53: 281 nin: 880 st: search ct_est: 866 nin: 880 mx: 0.15 foff_est: 14.0 timing_valid: 0 post +65: x.xx...x.x...xxxx...xxxx.xxxx.xxxx...xxxx...x.xx.xx.xxx.x......x.....xxxx....xxxxxxxxwritten: 258000 +65: x.xxxx..measured double sided (real) noise power: 9.824264 +53: 282 nin: 880 st: search ct_est: 593 nin: 880 mx: 0.25 foff_est: -52.0 timing_valid: 0 post +65: xxx.x.xtotal iters 996 +65: Raw Tbits: 258000 Terr: 9659 BER: 0.037 +65: Coded Tbits: 206400 Terr: 742 BER: 0.004 +65: Tpkts: 100 Tper: 57 PER: 0.570 + 64/155 Test #65: test_ldpc_enc_dec_H_2064_516_sparse ............ Passed 0.85 sec test 68 Start 68: test_freedv_api_700D_backwards_compatability 68: Test command: /usr/bin/sh "-c" "/build/reproducible-path/codec2-1.2.0/Build/src/freedv_rx 700D /build/reproducible-path/codec2-1.2.0/raw/testframes_700d.raw /dev/null --testframes --discard" 68: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 68: Test timeout computed to be: 1500 +53: 283 nin: 880 st: search ct_est: 636 nin: 880 mx: 0.16 foff_est: 47.0 timing_valid: 0 post 68: frames decoded: 5 output speech samples: 2560 68: BER......: 0.0000 Tbits: 702 Terrs: 0 68: Coded BER: 0.0000 Tbits: 336 Terrs: 0 68: Coded PER: 0.0000 Tpkts: 3 Tpers: 0 - 66/155 Test #68: test_freedv_api_700D_backwards_compatability ... Passed 0.08 sec + 65/155 Test #68: test_freedv_api_700D_backwards_compatability ... Passed 0.07 sec test 69 Start 69: test_freedv_api_700D_speech @@ -13265,6 +13296,7 @@ 69: Test timeout computed to be: 1500 69: ch: Fs: 8000 NodB: -20.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 69: frame: 1 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 +53: 284 nin: 880 st: search ct_est: 587 nin: 880 mx: 0.22 foff_est: 26.0 timing_valid: 0 post 69: frame: 2 sync: 0 nin: 548 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 69: frame: 3 sync: 1 nin: 1280 snr: 1.38 dB bit errors: 0 clock_off: 0.00 foff: -0.02 69: frame: 4 sync: 1 nin: 1280 snr: 1.27 dB bit errors: 1 clock_off: 0.00 foff: 0.00 @@ -13289,6 +13321,17 @@ 69: frame: 23 sync: 1 nin: 1280 snr: 2.42 dB bit errors: 2 clock_off: -0.00 foff: 0.02 69: frame: 24 sync: 1 nin: 1280 snr: 2.41 dB bit errors: 2 clock_off: 0.00 foff: -0.02 69: frame: 25 sync: 1 nin: 1280 snr: 2.54 dB bit errors: 2 clock_off: 0.00 foff: -0.03 +67: frames decoded: 125 output speech samples: 80000 + 66/155 Test #67: test_freedv_api_700C ........................... Passed 0.43 sec +test 70 + Start 70: test_freedv_api_700D_burble + +70: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 700D ../../raw/ve9qrp.raw - | + ./ch - - --No -8 | + ./freedv_rx 700D - /dev/null --squelch -2 -vv" +70: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +70: Test timeout computed to be: 1500 69: frame: 26 sync: 1 nin: 1280 snr: 2.50 dB bit errors: 2 clock_off: 0.00 foff: 0.01 69: frame: 27 sync: 1 nin: 1280 snr: 3.21 dB bit errors: 2 clock_off: 0.00 foff: -0.01 69: frame: 28 sync: 1 nin: 1280 snr: 3.03 dB bit errors: 2 clock_off: -0.00 foff: 0.04 @@ -13299,6 +13342,8 @@ 69: frame: 33 sync: 1 nin: 1280 snr: 3.29 dB bit errors: 2 clock_off: -0.00 foff: -0.03 69: frame: 34 sync: 1 nin: 1280 snr: 3.17 dB bit errors: 2 clock_off: -0.00 foff: -0.01 69: frame: 35 sync: 1 nin: 1280 snr: 3.12 dB bit errors: 2 clock_off: -0.00 foff: 0.02 +53: 285 nin: 880 st: search ct_est: 727 nin: 880 mx: 0.19 foff_est: 24.0 timing_valid: 0 pre +70: ch: Fs: 8000 NodB: -8.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 69: frame: 36 sync: 1 nin: 1280 snr: 2.97 dB bit errors: 2 clock_off: -0.00 foff: 0.00 69: frame: 37 sync: 1 nin: 1280 snr: 2.78 dB bit errors: 2 clock_off: -0.00 foff: -0.02 69: frame: 38 sync: 1 nin: 1280 snr: 2.62 dB bit errors: 2 clock_off: 0.00 foff: -0.01 @@ -13313,6 +13358,7 @@ 69: frame: 47 sync: 1 nin: 1280 snr: 2.88 dB bit errors: 2 clock_off: 0.00 foff: -0.01 69: frame: 48 sync: 1 nin: 1280 snr: 2.59 dB bit errors: 3 clock_off: -0.00 foff: -0.05 69: frame: 49 sync: 1 nin: 1280 snr: 2.53 dB bit errors: 3 clock_off: -0.00 foff: -0.07 +70: frame: 1 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 69: frame: 50 sync: 1 nin: 1280 snr: 2.41 dB bit errors: 3 clock_off: 0.00 foff: 0.03 69: frame: 51 sync: 1 nin: 1280 snr: 2.28 dB bit errors: 3 clock_off: 0.00 foff: 0.03 69: frame: 52 sync: 1 nin: 1280 snr: 2.26 dB bit errors: 3 clock_off: 0.00 foff: 0.02 @@ -13320,35 +13366,32 @@ 69: frame: 54 sync: 1 nin: 1280 snr: 2.82 dB bit errors: 3 clock_off: 0.00 foff: 0.02 69: frame: 55 sync: 1 nin: 1280 snr: 2.74 dB bit errors: 3 clock_off: -0.00 foff: 0.03 69: frame: 56 sync: 1 nin: 1280 snr: 3.18 dB bit errors: 3 clock_off: -0.00 foff: -0.01 +70: frame: 2 sync: 0 nin: 548 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 69: frame: 57 sync: 1 nin: 1280 snr: 3.03 dB bit errors: 3 clock_off: -0.00 foff: 0.00 69: frame: 58 sync: 1 nin: 1280 snr: 2.99 dB bit errors: 3 clock_off: -0.00 foff: 0.01 69: frame: 59 sync: 1 nin: 1280 snr: 2.85 dB bit errors: 3 clock_off: -0.00 foff: 0.02 +70: frame: 3 sync: 1 nin: 1280 snr: -0.12 dB bit errors: 5 clock_off: 0.00 foff: 4.18 69: frame: 60 sync: 1 nin: 1280 snr: 2.84 dB bit errors: 3 clock_off: -0.00 foff: 0.02 69: frame: 61 sync: 1 nin: 1280 snr: 2.73 dB bit errors: 3 clock_off: -0.00 foff: -0.01 69: ch: SNR3k(dB): 2.20 C/No....: 36.97 -69: frame: 62 sync: 1 nin: 1280 snr: 2.71 dB bit errors: 3 clock_off: 0.00 foff: -0.04 -69: frames decoded: 62 output speech samples: 71680 69: ch: peak.....: 16745.60 RMS.....: 7050.56 CPAPR.....: 7.51 69: ch: Nsamples.: 79360 clipped.: 0.00% OutClipped: 0.00% - 67/155 Test #69: test_freedv_api_700D_speech .................... Passed 0.76 sec -test 70 - Start 70: test_freedv_api_700D_burble - -70: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 700D ../../raw/ve9qrp.raw - | - ./ch - - --No -8 | - ./freedv_rx 700D - /dev/null --squelch -2 -vv" -70: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -70: Test timeout computed to be: 1500 -70: ch: Fs: 8000 NodB: -8.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 -70: frame: 1 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 -70: frame: 2 sync: 0 nin: 548 snr: -5.00 dB bit errors: 0 clock_off: 0.00 foff: 0.00 -70: frame: 3 sync: 1 nin: 1280 snr: -0.12 dB bit errors: 5 clock_off: 0.00 foff: 4.18 70: frame: 4 sync: 1 nin: 1280 snr: -0.30 dB bit errors: 9 clock_off: 0.00 foff: 4.45 +69: frame: 62 sync: 1 nin: 1280 snr: 2.71 dB bit errors: 3 clock_off: 0.00 foff: -0.04 +69: frames decoded: 62 output speech samples: 71680 + 67/155 Test #69: test_freedv_api_700D_speech .................... Passed 0.29 sec +test 71 + Start 71: test_freedv_api_700D_AWGN_BER + +71: Test command: /usr/bin/sh "-c" "dd bs=2560 count=120 if=/dev/zero | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_tx 700D - - --testframes | /build/reproducible-path/codec2-1.2.0/Build/src/ch - - --No -20 -f -10 | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_rx 700D - /dev/null --testframes --discard" +71: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +71: Test timeout computed to be: 1500 70: frame: 5 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 9 clock_off: 0.00 foff: 4.45 +71: ch: Fs: 8000 NodB: -20.00 foff: -10.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 70: frame: 6 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 9 clock_off: 0.00 foff: 4.45 70: frame: 7 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 9 clock_off: 0.00 foff: 4.45 70: frame: 8 sync: 1 nin: 1280 snr: -0.41 dB bit errors: 14 clock_off: 0.00 foff: 0.82 +53: 286 nin: 880 st: search ct_est: 230 nin: 880 mx: 0.17 foff_est: -39.0 timing_valid: 0 post 70: frame: 9 sync: 1 nin: 1280 snr: -0.72 dB bit errors: 17 clock_off: 0.00 foff: 0.68 70: frame: 10 sync: 0 nin: 2 snr: -5.00 dB bit errors: 17 clock_off: 0.00 foff: 0.68 70: frame: 11 sync: 1 nin: 1280 snr: -0.93 dB bit errors: 20 clock_off: 0.00 foff: -1.06 @@ -13361,6 +13404,7 @@ 70: frame: 18 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 70: frame: 19 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 70: frame: 20 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 +53: 287 nin: 880 st: search ct_est: 482 nin: 880 mx: 0.15 foff_est: 24.0 timing_valid: 0 pre 70: frame: 21 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 70: frame: 22 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 70: frame: 23 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 36 clock_off: 0.00 foff: 2.93 @@ -13379,10 +13423,26 @@ 70: frame: 36 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 70: frame: 37 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 70: frame: 38 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 +71: 120+0 records in +71: 120+0 records out +71: 307200 bytes (307 kB, 300 KiB) copied, 0.398806 s, 770 kB/s 70: frame: 39 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 +53: 288 nin: 880 st: search ct_est: 734 nin: 880 mx: 0.19 foff_est: -27.0 timing_valid: 0 post +53: BER......: 0.0000 Tbits: 3840 Terrs: 0 Tpackets: 10 SNR3kdB: 2.52 +53: Coded BER: 0.0000 Tbits: 1280 Terrs: 0 +53: Coded PER: 0.0000 Tpkts: 10 Tpers: 0 Thruput: 10 +53: Npre.....: 10 Npost: 0 uw_fails: 0 + 68/155 Test #53: test_OFDM_modem_datac13_ldpc_burst ............. Passed 13.04 sec +test 72 + Start 72: test_freedv_api_700D_AWGN_BER_USECOMPLEX + +72: Test command: /usr/bin/sh "-c" "dd bs=2560 count=120 if=/dev/zero | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_tx 700D - - --testframes | /build/reproducible-path/codec2-1.2.0/Build/src/ch - - --No -20 -f -10 | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_rx 700D - /dev/null --testframes --discard --usecomplex" +72: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +72: Test timeout computed to be: 1500 70: frame: 40 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 70: frame: 41 sync: 0 nin: 0 snr: -5.00 dB bit errors: 47 clock_off: 0.00 foff: 10.49 70: frame: 42 sync: 1 nin: 1280 snr: -1.97 dB bit errors: 52 clock_off: 0.00 foff: 0.75 +72: ch: Fs: 8000 NodB: -20.00 foff: -10.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 70: frame: 43 sync: 1 nin: 1280 snr: -2.07 dB bit errors: 54 clock_off: 0.00 foff: 0.75 70: frame: 44 sync: 1 nin: 1280 snr: -2.23 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 45 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 @@ -13391,10 +13451,28 @@ 70: frame: 48 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 49 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 50 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 +71: ch: SNR3k(dB): 2.11 C/No....: 36.88 +71: ch: peak.....: 16419.89 RMS.....: 6982.37 CPAPR.....: 7.43 +71: ch: Nsamples.: 153600 clipped.: 0.00% OutClipped: 0.00% +71: frames decoded: 120 output speech samples: 2560 +71: BER......: 0.0183 Tbits: 27612 Terrs: 506 +71: Coded BER: 0.0038 Tbits: 13216 Terrs: 50 +71: Coded PER: 0.0508 Tpkts: 118 Tpers: 6 70: frame: 51 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 + 69/155 Test #71: test_freedv_api_700D_AWGN_BER .................. Passed 0.57 sec +test 73 + Start 73: test_freedv_api_700D_real_comp + +73: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; + PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/demo:/build/reproducible-path/codec2-1.2.0/Build/unittest; + ./check_real_comp.sh" +73: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +73: Test timeout computed to be: 1500 70: frame: 52 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 53 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 54 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 +73: + freedv_700d_tx +73: + cat ../raw/ve9qrp_10s.raw 70: frame: 55 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 56 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 70: frame: 57 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 57 clock_off: -0.00 foff: 0.66 @@ -13417,6 +13495,9 @@ 70: frame: 74 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 70: frame: 75 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 70: frame: 76 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 +72: 120+0 records in +72: 120+0 records out +72: 307200 bytes (307 kB, 300 KiB) copied, 0.376594 s, 816 kB/s 70: frame: 77 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 70: frame: 78 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 70: frame: 79 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 65 clock_off: 0.00 foff: 2.99 @@ -13425,84 +13506,344 @@ 70: frame: 82 sync: 1 nin: 1280 snr: -2.48 dB bit errors: 69 clock_off: 0.00 foff: -6.03 70: frame: 83 sync: 1 nin: 1280 snr: -1.55 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 84 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +73: + cat ../raw/ve9qrp_10s.raw +72: ch: SNR3k(dB): 2.11 C/No....: 36.88 +72: ch: peak.....: 16419.89 RMS.....: 6982.37 CPAPR.....: 7.43 +72: ch: Nsamples.: 153600 clipped.: 0.00% OutClipped: 0.00% +72: frames decoded: 120 output speech samples: 2560 +72: BER......: 0.0183 Tbits: 27612 Terrs: 506 +72: Coded BER: 0.0038 Tbits: 13216 Terrs: 50 +72: Coded PER: 0.0508 Tpkts: 118 Tpers: 6 70: frame: 85 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 + 70/155 Test #72: test_freedv_api_700D_AWGN_BER_USECOMPLEX ....... Passed 0.50 sec +test 74 + Start 74: test_freedv_api_700D_comptx + +74: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/unittest; + cat /build/reproducible-path/codec2-1.2.0/raw/ve9qrp_10s.raw | + ./freedv_700d_comptx | + ./freedv_700d_comprx tx > /dev/null" +74: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +74: Test timeout computed to be: 1500 70: frame: 86 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 87 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +73: + freedv_700d_comptx 70: frame: 88 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 89 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: 1 70: frame: 90 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 91 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 0 snr_est: -5.000000 70: frame: 92 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 0 snr_est: -5.000000 +74: sync: 1 snr_est: 6.343156 +74: sync: 1 snr_est: 8.114625 +74: sync: 1 snr_est: 8.099811 +74: sync: 1 snr_est: 9.174468 +74: sync: 1 snr_est: 9.018172 +74: sync: 1 snr_est: 8.859909 +74: sync: 1 snr_est: 8.791308 +74: sync: 1 snr_est: 8.533140 70: frame: 93 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 94 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 8.396894 +74: sync: 1 snr_est: 8.372688 +74: sync: 1 snr_est: 8.241596 +74: sync: 1 snr_est: 8.212548 +74: sync: 1 snr_est: 8.086809 +74: sync: 1 snr_est: 8.843225 70: frame: 95 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 8.927224 +74: sync: 1 snr_est: 9.415097 +74: sync: 1 snr_est: 9.376193 70: frame: 96 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 10.416964 +74: sync: 1 snr_est: 10.202601 70: frame: 97 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 10.060744 +74: sync: 1 snr_est: 9.765476 +74: sync: 1 snr_est: 9.553941 +74: sync: 1 snr_est: 9.392133 70: frame: 98 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 9.243319 +74: sync: 1 snr_est: 9.225810 70: frame: 99 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 9.012235 +74: sync: 1 snr_est: 8.853650 +74: sync: 1 snr_est: 8.670654 +74: sync: 1 snr_est: 8.954823 70: frame: 100 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 +74: sync: 1 snr_est: 8.836808 +74: sync: 1 snr_est: 8.914849 +74: sync: 1 snr_est: 9.277812 +74: sync: 1 snr_est: 9.145962 +74: sync: 1 snr_est: 9.707406 70: frame: 101 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 73 clock_off: 0.00 foff: -5.88 70: frame: 102 sync: 1 nin: 1280 snr: -1.67 dB bit errors: 79 clock_off: 0.00 foff: -4.22 +74: sync: 1 snr_est: 9.555504 +74: sync: 1 snr_est: 9.805727 +74: sync: 1 snr_est: 9.661585 +74: sync: 1 snr_est: 9.424520 70: frame: 103 sync: 1 nin: 1280 snr: -1.78 dB bit errors: 85 clock_off: 0.00 foff: -4.39 70: frame: 104 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 10.123995 +74: sync: 1 snr_est: 9.965890 +74: sync: 1 snr_est: 9.818349 70: frame: 105 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.664690 +74: sync: 1 snr_est: 9.356380 +74: sync: 1 snr_est: 9.315892 +74: sync: 1 snr_est: 9.196953 +73: + octave-cli -p ../octave -qf +73: ./check_real_comp.sh: line 15: octave-cli: command not found +73: + echo 'tx_real=load_raw('\''tx_700d.int16'\''); tx_comp=load_raw('\''tx_700d.iq16'\''); tx_comp=tx_comp(1:2:end)+j*tx_comp(2:2:end); diff = sum(real(tx_comp)-tx_real); printf('\''diff: %f\n'\'', diff); if diff < 1, quit(0), end; quit(1)' + 71/155 Test #73: test_freedv_api_700D_real_comp .................***Failed 0.62 sec ++ freedv_700d_tx ++ cat ../raw/ve9qrp_10s.raw ++ cat ../raw/ve9qrp_10s.raw ++ freedv_700d_comptx ++ octave-cli -p ../octave -qf +./check_real_comp.sh: line 15: octave-cli: command not found ++ echo 'tx_real=load_raw('\''tx_700d.int16'\''); tx_comp=load_raw('\''tx_700d.iq16'\''); tx_comp=tx_comp(1:2:end)+j*tx_comp(2:2:end); diff = sum(real(tx_comp)-tx_real); printf('\''diff: %f\n'\'', diff); if diff < 1, quit(0), end; quit(1)' + +test 75 + Start 75: test_freedv_api_700D_comprx + +75: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/unittest; + cat /build/reproducible-path/codec2-1.2.0/raw/ve9qrp_10s.raw | + ./freedv_700d_comptx | + ./freedv_700d_comprx rx > /dev/null" +75: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +75: Test timeout computed to be: 1500 70: frame: 106 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.070307 +74: sync: 1 snr_est: 8.903056 +74: sync: 1 snr_est: 8.765244 70: frame: 107 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 8.690137 +74: sync: 1 snr_est: 8.683470 +75: 2 +74: sync: 1 snr_est: 10.145680 +74: sync: 1 snr_est: 9.941775 70: frame: 108 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.908038 +74: sync: 1 snr_est: 9.733982 70: frame: 109 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.365714 +74: sync: 1 snr_est: 9.097569 +74: sync: 1 snr_est: 10.151118 +75: sync: 0 snr_est: -5.000000 70: frame: 110 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.957577 +74: sync: 1 snr_est: 9.800738 70: frame: 111 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +74: sync: 1 snr_est: 9.624794 +74: frames: 62 sum_sync: 60 snr_av: 9.20 dB + 72/155 Test #74: test_freedv_api_700D_comptx .................... Passed 0.31 sec +test 76 + Start 76: test_freedv_api_2020_to_ofdm_demod + +76: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 2020 ../../wav/wia_16kHz.wav - --testframes | + ./ofdm_demod --mode 2020 --verbose 1 --ldpc --testframes > /dev/null" +76: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +76: Test timeout computed to be: 1500 70: frame: 112 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 70: frame: 113 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 0 snr_est: -5.000000 +75: sync: 1 snr_est: 6.509252 +75: sync: 1 snr_est: 8.244029 +75: sync: 1 snr_est: 8.222151 +75: sync: 1 snr_est: 9.284601 +75: sync: 1 snr_est: 9.108254 +75: sync: 1 snr_est: 8.944138 +75: sync: 1 snr_est: 8.887630 +75: sync: 1 snr_est: 8.606930 70: frame: 114 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 8.458365 +75: sync: 1 snr_est: 8.432646 +75: sync: 1 snr_est: 8.301448 +75: sync: 1 snr_est: 8.266542 +75: sync: 1 snr_est: 8.141373 70: frame: 115 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 -63: .......written: 162000 70: frame: 116 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 8.938277 70: frame: 117 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.471864 70: frame: 118 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.554093 70: frame: 119 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.494676 +75: sync: 1 snr_est: 10.516977 +75: sync: 1 snr_est: 10.293685 +75: sync: 1 snr_est: 10.154043 70: frame: 120 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.895025 +75: sync: 1 snr_est: 9.678865 70: frame: 121 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.509424 +75: sync: 1 snr_est: 9.357119 +75: sync: 1 snr_est: 9.340798 70: frame: 122 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.125976 70: frame: 123 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 8.961843 +75: sync: 1 snr_est: 8.775743 +75: sync: 1 snr_est: 9.170179 70: frame: 124 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.030161 +75: sync: 1 snr_est: 9.027443 +75: sync: 1 snr_est: 9.263516 70: frame: 125 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.128694 70: frame: 126 sync: 0 nin: 2 snr: -5.00 dB bit errors: 85 clock_off: 0.00 foff: -4.39 +75: sync: 1 snr_est: 9.786623 +75: sync: 1 snr_est: 9.625856 +75: sync: 1 snr_est: 9.856807 70: frame: 127 sync: 1 nin: 1280 snr: -1.96 dB bit errors: 89 clock_off: 0.00 foff: 1.06 70: frame: 128 sync: 1 nin: 1280 snr: -2.09 dB bit errors: 92 clock_off: 0.00 foff: 0.79 +75: sync: 1 snr_est: 9.712361 +75: sync: 1 snr_est: 9.472477 +75: sync: 1 snr_est: 10.018430 70: frame: 129 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 92 clock_off: 0.00 foff: 0.79 70: frame: 130 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 92 clock_off: 0.00 foff: 0.79 +75: sync: 1 snr_est: 9.884554 +75: sync: 1 snr_est: 9.757220 +75: sync: 1 snr_est: 9.605175 70: frame: 131 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 92 clock_off: 0.00 foff: 0.79 70: frame: 132 sync: 1 nin: 1280 snr: -2.17 dB bit errors: 96 clock_off: 0.00 foff: -9.05 +75: sync: 1 snr_est: 9.326384 +75: sync: 1 snr_est: 9.302133 +75: sync: 1 snr_est: 9.195293 70: frame: 133 sync: 1 nin: 1280 snr: -2.26 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +75: sync: 1 snr_est: 9.084089 +75: sync: 1 snr_est: 8.905858 +75: sync: 1 snr_est: 8.735284 70: frame: 134 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +75: sync: 1 snr_est: 8.666572 70: frame: 135 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +76: BER......: 0.0000 Tbits: 1260 Terrs: 0 Tpackets: 3 SNR3kdB: 39.46 +76: Coded BER: 0.0000 Tbits: 936 Terrs: 0 +76: Coded PER: 0.0000 Tpkts: 3 Tpers: 0 Thruput: 3 + 73/155 Test #76: test_freedv_api_2020_to_ofdm_demod ............. Passed 0.19 sec +test 77 + Start 77: test_freedv_api_2020_from_ofdm_mod + +77: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./ofdm_mod --in /dev/zero --mode 2020 --verbose 1 --ldpc --testframes 10 | + ./freedv_rx 2020 - /dev/null --testframes" +77: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +77: Test timeout computed to be: 1500 +75: sync: 1 snr_est: 8.645222 +75: sync: 1 snr_est: 10.049102 +75: sync: 1 snr_est: 9.857759 +75: sync: 1 snr_est: 9.804831 70: frame: 136 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 70: frame: 137 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +75: sync: 1 snr_est: 9.643269 70: frame: 138 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +75: sync: 1 snr_est: 9.277040 +77: Ndatabitsperpacket: 312 Npayloadbitsperpacket: 420 Nsamperpacket: 1440 +77: Npackets: 56 +75: sync: 1 snr_est: 9.028326 +75: sync: 1 snr_est: 10.234185 +75: sync: 1 snr_est: 10.036665 70: frame: 139 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 +75: sync: 1 snr_est: 9.914729 +75: sync: 1 snr_est: 9.740762 +75: Demod/Interferer power ratio: -5.45 dB +75: frames: 62 sum_sync: 60 snr_av: 9.25 dB 70: frame: 140 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 + 74/155 Test #75: test_freedv_api_700D_comprx .................... Passed 0.31 sec +test 78 + Start 78: test_freedv_api_2020_awgn + +78: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + dd bs=32000 count=10 if=/dev/zero | + ./freedv_tx 2020 - - --testframes | + ./ch - - --No -24 | + ./freedv_rx 2020 - /dev/null --testframes" +78: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +78: Test timeout computed to be: 1500 70: frame: 141 sync: 0 nin: 2 snr: -5.00 dB bit errors: 103 clock_off: 0.00 foff: -8.83 70: frame: 142 sync: 1 nin: 1280 snr: -2.41 dB bit errors: 107 clock_off: 0.00 foff: -9.17 70: frame: 143 sync: 1 nin: 1280 snr: -1.87 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 144 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 +78: ch: Fs: 8000 NodB: -24.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 70: frame: 145 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 146 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 147 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 148 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 149 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 +77: frames decoded: 56 output speech samples: 5760 +77: BER......: 0.0000 Tbits: 23976 Terrs: 0 +77: Coded BER: 0.0000 Tbits: 16848 Terrs: 0 +77: Coded PER: 0.0000 Tpkts: 54 Tpers: 0 70: frame: 150 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 70: frame: 151 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 112 clock_off: 0.00 foff: -8.93 + 75/155 Test #77: test_freedv_api_2020_from_ofdm_mod ............. Passed 0.18 sec +test 79 + Start 79: test_freedv_api_2020B_mpp + +79: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + dd bs=32000 count=60 if=/dev/zero | + ./freedv_tx 2020B - - --testframes --clip 1 | + ./ch - - --No -25 --mpp --fading_dir ../unittest | + ./freedv_rx 2020B - /dev/null --testframes" +79: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +79: Test timeout computed to be: 1500 70: frame: 152 sync: 1 nin: 1280 snr: -2.05 dB bit errors: 117 clock_off: 0.00 foff: 4.16 70: frame: 153 sync: 1 nin: 1280 snr: -2.20 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 154 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 +79: ----------------------------------------------------- +79: ch ERROR: Can't find fading file: ../unittest/fast_fading_samples.float +79: +79: Adjust path --fading_dir or use GNU Octave to generate: +79: +79: $ octave --no-gui +79: octave:24> pkg load signal +79: octave:24> time_secs=60 +79: octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) +79: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) +79: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) +79: ----------------------------------------------------- 70: frame: 155 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 +79: frames decoded: 0 output speech samples: 0 +79: BER......: nan Tbits: 0 Terrs: 0 +79: Coded BER: nan Tbits: 0 Terrs: 0 +79: Coded PER: nan Tpkts: 0 Tpers: 0 70: frame: 156 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 157 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 158 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 + 76/155 Test #79: test_freedv_api_2020B_mpp ......................***Failed 0.06 sec +----------------------------------------------------- +ch ERROR: Can't find fading file: ../unittest/fast_fading_samples.float + +Adjust path --fading_dir or use GNU Octave to generate: + +$ octave --no-gui +octave:24> pkg load signal +octave:24> time_secs=60 +octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) +octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) +octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) +----------------------------------------------------- +frames decoded: 0 output speech samples: 0 +BER......: nan Tbits: 0 Terrs: 0 +Coded BER: nan Tbits: 0 Terrs: 0 +Coded PER: nan Tpkts: 0 Tpers: 0 + +test 80 + Start 80: test_freedv_api_2400A + +80: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 2400A ../../raw/ve9qrp_10s.raw - | ./freedv_rx 2400A - /dev/null" +80: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +80: Test timeout computed to be: 1500 70: frame: 159 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 160 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 161 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 +62: ...............................................................................................written: 819200 70: frame: 162 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 163 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 70: frame: 164 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 121 clock_off: 0.00 foff: 4.35 @@ -13547,7 +13888,16 @@ 70: frame: 203 sync: 1 nin: 1280 snr: -1.60 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 204 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 205 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 +80: frames decoded: 250 output speech samples: 80013 70: frame: 206 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 + 77/155 Test #80: test_freedv_api_2400A .......................... Passed 0.37 sec +test 81 + Start 81: test_freedv_api_2400B + +81: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 2400B ../../raw/ve9qrp_10s.raw - | ./freedv_rx 2400B - /dev/null" +81: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +81: Test timeout computed to be: 1500 70: frame: 207 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 208 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 209 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 @@ -13562,12 +13912,21 @@ 70: frame: 218 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 219 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 220 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 +62: ..measured double sided (real) noise power: 312.522326 70: frame: 221 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 -63: .measured double sided (real) noise power: 30.994756 70: frame: 222 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 223 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 224 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 +81: frames decoded: 250 output speech samples: 80000 70: frame: 225 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 + 78/155 Test #81: test_freedv_api_2400B .......................... Passed 0.20 sec +test 82 + Start 82: test_freedv_api_800XA + +82: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 800XA ../../raw/ve9qrp_10s.raw - | ./freedv_rx 800XA - /dev/null" +82: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +82: Test timeout computed to be: 1500 70: frame: 226 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 227 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 228 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 @@ -13586,21 +13945,93 @@ 70: frame: 241 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 242 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 243 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 +82: frames decoded: 125 output speech samples: 80000 70: frame: 244 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 245 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 + 79/155 Test #82: test_freedv_api_800XA .......................... Passed 0.22 sec +test 83 + Start 83: test_freedv_api_rawdata_800XA + +83: Test command: /usr/bin/sh "-c" "./tfreedv_800XA_rawdata" +83: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest +83: Test timeout computed to be: 1500 70: frame: 246 sync: 0 nin: 2 snr: -5.00 dB bit errors: 158 clock_off: 0.00 foff: -4.54 70: frame: 247 sync: 1 nin: 1280 snr: -1.74 dB bit errors: 162 clock_off: 0.00 foff: 0.90 70: frame: 248 sync: 1 nin: 1280 snr: -1.88 dB bit errors: 165 clock_off: 0.00 foff: 0.73 70: frame: 249 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 165 clock_off: 0.00 foff: 0.73 +83: freedv_api tests for mode 800XA +83: freedv_open(FREEDV_MODE_800XA) Passed +83: freedv_get_mode() Passed +83: freedv_get_n_max_modem_samples() 660 Passed +83: freedv_get_n_nom_modem_samples() 640 Passed +83: freedv_get_n_speech_samples() 640 Passed +83: freedv_get_n_bits_per_codec_frame() 28 Passed +83: freedv_get_n_bits_per_modem_frame() 56 Passed +83: freedv_codec_frames_from_rawdata() Passed +83: freedv_rawdata_from_codec_frames() Passed +83: freedv_rawdatatx()/freedv_rawdatarx() Passed +83: Tests passed 70: frame: 250 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 165 clock_off: 0.00 foff: 0.73 + 80/155 Test #83: test_freedv_api_rawdata_800XA .................. Passed 0.04 sec +test 84 + Start 84: test_freedv_api_rawdata_2400A + +84: Test command: /usr/bin/sh "-c" "./tfreedv_2400A_rawdata" +84: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest +84: Test timeout computed to be: 1500 70: frame: 251 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 165 clock_off: 0.00 foff: 0.73 70: frame: 252 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 165 clock_off: 0.00 foff: 0.73 70: frame: 253 sync: 1 nin: 1280 snr: -2.08 dB bit errors: 168 clock_off: 0.00 foff: -0.89 70: frame: 254 sync: 1 nin: 1280 snr: -2.23 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 255 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 +62: ...total iters 4715 +62: Raw Tbits: 819200 Terr: 63990 BER: 0.078 +62: Coded Tbits: 409600 Terr: 0 BER: 0.000 +62: Tpkts: 100 Tper: 0 PER: 0.000 + 81/155 Test #62: test_ldpc_enc_dec_H_4096_8192_3d ............... Passed 11.10 sec +test 85 + Start 85: test_freedv_api_rawdata_2400B + +85: Test command: /usr/bin/sh "-c" "./tfreedv_2400B_rawdata" +85: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest +85: Test timeout computed to be: 1500 +84: freedv_api tests for mode 2400A +84: freedv_open(FREEDV_MODE_2400A) Passed +84: freedv_get_mode() Passed +84: freedv_get_n_max_modem_samples() 2040 Passed +84: freedv_get_n_nom_modem_samples() 2000 Passed +84: freedv_get_n_speech_samples() 320 Passed +84: freedv_get_n_bits_per_codec_frame() 52 Passed +84: freedv_get_n_bits_per_modem_frame() 52 Passed +84: freedv_rawdatatx()/freedv_rawdatarx() Tests passed 70: frame: 256 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 + 82/155 Test #84: test_freedv_api_rawdata_2400A .................. Passed 0.04 sec +test 86 + Start 86: test_peak_levels + +86: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; + PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./check_peak.sh" +86: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +86: Test timeout computed to be: 1500 70: frame: 257 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 258 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 +85: freedv_api tests for mode 2400B +85: freedv_open(FREEDV_MODE_2400B) Passed +85: freedv_get_mode() Passed +85: freedv_get_n_max_modem_samples() 1930 Passed +85: freedv_get_n_nom_modem_samples() 1920 Passed +85: freedv_get_n_speech_samples() 320 Passed +85: freedv_get_n_bits_per_codec_frame() 52 Passed +85: freedv_get_n_bits_per_modem_frame() 52 Passed +85: freedv_rawdatatx()/freedv_rawdatarx() Tests passed + 83/155 Test #85: test_freedv_api_rawdata_2400B .................. Passed 0.02 sec +test 87 + Start 87: test_peak_levels_lpcnet + +87: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; + PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./check_peak.sh LPCNet" +87: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +87: Test timeout computed to be: 1500 70: frame: 259 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 260 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 261 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 @@ -13610,12 +14041,16 @@ 70: frame: 265 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 266 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 70: frame: 267 sync: 0 nin: 0 snr: -5.00 dB bit errors: 171 clock_off: 0.00 foff: -0.72 +78: 10+0 records in +78: 10+0 records out +78: 320000 bytes (320 kB, 312 KiB) copied, 1.14485 s, 280 kB/s 70: frame: 268 sync: 1 nin: 1280 snr: -2.32 dB bit errors: 178 clock_off: 0.00 foff: -4.11 70: frame: 269 sync: 1 nin: 1280 snr: -2.49 dB bit errors: 185 clock_off: 0.00 foff: -4.02 70: frame: 270 sync: 0 nin: 0 snr: -5.00 dB bit errors: 185 clock_off: 0.00 foff: -4.02 70: frame: 271 sync: 1 nin: 1280 snr: -2.58 dB bit errors: 189 clock_off: 0.00 foff: 0.30 70: frame: 272 sync: 1 nin: 1280 snr: -2.59 dB bit errors: 193 clock_off: 0.00 foff: 0.18 70: frame: 273 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 193 clock_off: 0.00 foff: 0.18 +86: 1600 ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 274 sync: 0 nin: 2 snr: -5.00 dB bit errors: 193 clock_off: 0.00 foff: 0.18 70: frame: 275 sync: 1 nin: 1280 snr: -2.11 dB bit errors: 197 clock_off: 0.00 foff: -0.92 70: frame: 276 sync: 1 nin: 1280 snr: -2.16 dB bit errors: 199 clock_off: 0.00 foff: -0.91 @@ -13628,9 +14063,27 @@ 70: frame: 283 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 284 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 285 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 +78: ch: SNR3k(dB): 4.31 C/No....: 39.08 +78: ch: peak.....: 13874.81 RMS.....: 5673.74 CPAPR.....: 7.77 +78: ch: Nsamples.: 79200 clipped.: 0.00% OutClipped: 0.00% +78: frames decoded: 55 output speech samples: 5760 +78: BER......: 0.0165 Tbits: 23532 Terrs: 388 +78: Coded BER: 0.0027 Tbits: 16536 Terrs: 45 +78: Coded PER: 0.0755 Tpkts: 53 Tpers: 4 70: frame: 286 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 + 84/155 Test #78: test_freedv_api_2020_awgn ...................... Passed 1.40 sec +test 88 + Start 88: test_freedv_reliable_text_truncate_string + +88: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEFGH > 1600_reliable.raw 2>/dev/null; + ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; + grep 'AB1CDEFG' 1600_reliable.txt | wc -l" +88: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +88: Test timeout computed to be: 1500 70: frame: 287 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 288 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 +86: 700C ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 289 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 290 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 291 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 @@ -13655,6 +14108,7 @@ 70: frame: 310 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 311 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 312 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 +86: 700D ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 313 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 314 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 70: frame: 315 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 214 clock_off: 0.00 foff: 0.56 @@ -13671,6 +14125,7 @@ 70: frame: 326 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 70: frame: 327 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 70: frame: 328 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 +87: 2020 ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 329 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 70: frame: 330 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 70: frame: 331 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 234 clock_off: 0.00 foff: -1.61 @@ -13686,6 +14141,7 @@ 70: frame: 341 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 245 clock_off: 0.00 foff: 2.46 70: frame: 342 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 245 clock_off: 0.00 foff: 2.46 70: frame: 343 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 245 clock_off: 0.00 foff: 2.46 +86: 700E ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 344 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 245 clock_off: 0.00 foff: 2.46 70: frame: 345 sync: 0 nin: 0 snr: -5.00 dB bit errors: 245 clock_off: 0.00 foff: 2.46 70: frame: 346 sync: 1 nin: 1280 snr: -2.28 dB bit errors: 252 clock_off: 0.00 foff: -5.91 @@ -13711,29 +14167,30 @@ 70: frame: 366 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 367 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 368 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 +86: 800XA ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 369 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 370 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 371 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 372 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 +87: 2020B ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 373 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 + 85/155 Test #87: test_peak_levels_lpcnet ........................ Passed 1.17 sec +test 89 + Start 89: test_freedv_reliable_text_ideal_1600 + +89: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEF > 1600_reliable.raw 2>/dev/null; + ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; + cat 1600_reliable.txt | wc -l" +89: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +89: Test timeout computed to be: 1500 70: frame: 374 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 375 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 376 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 377 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 378 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 -63: ..total iters 704 -63: Raw Tbits: 162000 Terr: 14812 BER: 0.091 -63: Coded Tbits: 97200 Terr: 0 BER: 0.000 -63: Tpkts: 10 Tper: 0 PER: 0.000 - 68/155 Test #63: test_ldpc_enc_dec_H_16200_9720 ................. Passed 14.54 sec -test 71 - Start 71: test_freedv_api_700D_AWGN_BER - -71: Test command: /usr/bin/sh "-c" "dd bs=2560 count=120 if=/dev/zero | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_tx 700D - - --testframes | /build/reproducible-path/codec2-1.2.0/Build/src/ch - - --No -20 -f -10 | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_rx 700D - /dev/null --testframes --discard" -71: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -71: Test timeout computed to be: 1500 70: frame: 379 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 -71: ch: Fs: 8000 NodB: -20.00 foff: -10.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +86: 2400A ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 380 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 381 sync: 0 nin: 1108 snr: -5.00 dB bit errors: 285 clock_off: 0.00 foff: -42.12 70: frame: 382 sync: 1 nin: 1280 snr: -2.36 dB bit errors: 291 clock_off: 0.00 foff: 5.12 @@ -13741,10 +14198,12 @@ 70: frame: 384 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 297 clock_off: 0.00 foff: 5.41 70: frame: 385 sync: 0 nin: 2 snr: -5.00 dB bit errors: 297 clock_off: 0.00 foff: 5.41 70: frame: 386 sync: 1 nin: 1280 snr: -2.43 dB bit errors: 300 clock_off: 0.00 foff: 1.92 +86: 2400B ./check_peak.sh: line 22: octave-cli: command not found 70: frame: 387 sync: 1 nin: 1280 snr: -2.47 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 388 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 389 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 390 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 +86: datac0 ./check_peak.sh: line 34: octave-cli: command not found 70: frame: 391 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 392 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 393 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 @@ -13765,6 +14224,7 @@ 70: frame: 408 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 409 sync: 0 nin: 0 snr: -5.00 dB bit errors: 303 clock_off: 0.00 foff: 2.17 70: frame: 410 sync: 1 nin: 1280 snr: -2.59 dB bit errors: 305 clock_off: 0.00 foff: 2.01 +86: datac1 ./check_peak.sh: line 34: octave-cli: command not found 70: frame: 411 sync: 1 nin: 1280 snr: -2.63 dB bit errors: 308 clock_off: 0.00 foff: 2.32 70: frame: 412 sync: 1 nin: 1280 snr: -2.62 dB bit errors: 311 clock_off: 0.00 foff: 2.25 70: frame: 413 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 311 clock_off: 0.00 foff: 2.25 @@ -13777,9 +14237,6 @@ 70: frame: 420 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 70: frame: 421 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 70: frame: 422 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 -71: 120+0 records in -71: 120+0 records out -71: 307200 bytes (307 kB, 300 KiB) copied, 0.833696 s, 368 kB/s 70: frame: 423 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 70: frame: 424 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 70: frame: 425 sync: 0 nin: 2 snr: -5.00 dB bit errors: 321 clock_off: 0.00 foff: 3.29 @@ -13787,26 +14244,12 @@ 70: frame: 427 sync: 1 nin: 1280 snr: -2.87 dB bit errors: 328 clock_off: 0.00 foff: -2.42 70: frame: 428 sync: 1 nin: 1280 snr: -2.87 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 429 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 +86: datac3 ./check_peak.sh: line 34: octave-cli: command not found 70: frame: 430 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 431 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 432 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 -71: ch: SNR3k(dB): 2.11 C/No....: 36.88 -71: ch: peak.....: 16419.89 RMS.....: 6982.37 CPAPR.....: 7.43 -71: ch: Nsamples.: 153600 clipped.: 0.00% OutClipped: 0.00% -71: frames decoded: 120 output speech samples: 2560 -71: BER......: 0.0183 Tbits: 27612 Terrs: 506 -71: Coded BER: 0.0038 Tbits: 13216 Terrs: 50 -71: Coded PER: 0.0508 Tpkts: 118 Tpers: 6 - 69/155 Test #71: test_freedv_api_700D_AWGN_BER .................. Passed 1.02 sec -test 72 - Start 72: test_freedv_api_700D_AWGN_BER_USECOMPLEX - -72: Test command: /usr/bin/sh "-c" "dd bs=2560 count=120 if=/dev/zero | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_tx 700D - - --testframes | /build/reproducible-path/codec2-1.2.0/Build/src/ch - - --No -20 -f -10 | /build/reproducible-path/codec2-1.2.0/Build/src/freedv_rx 700D - /dev/null --testframes --discard --usecomplex" -72: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -72: Test timeout computed to be: 1500 70: frame: 433 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 434 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 -72: ch: Fs: 8000 NodB: -20.00 foff: -10.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 70: frame: 435 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 436 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 70: frame: 437 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 334 clock_off: -0.00 foff: -2.66 @@ -13840,6 +14283,7 @@ 70: frame: 465 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 70: frame: 466 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 70: frame: 467 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 +86: datac4 ./check_peak.sh: line 34: octave-cli: command not found 70: frame: 468 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 70: frame: 469 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 70: frame: 470 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 382 clock_off: -0.00 foff: 0.75 @@ -13856,8 +14300,19 @@ 70: frame: 481 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 392 clock_off: 0.00 foff: 1.76 70: frame: 482 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 392 clock_off: 0.00 foff: 1.76 70: frame: 483 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 392 clock_off: 0.00 foff: 1.76 +86: datac13 ./check_peak.sh: line 34: octave-cli: command not found 70: frame: 484 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 392 clock_off: 0.00 foff: 1.76 70: frame: 485 sync: 1 nin: 1280 snr: -1.60 dB bit errors: 397 clock_off: 0.00 foff: 9.82 + 86/155 Test #86: test_peak_levels ............................... Passed 2.30 sec +test 90 + Start 90: test_freedv_reliable_text_ideal_700D + +90: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 700D ../../raw/ve9qrp.raw - --reliabletext AB1CDEF --txbpf 1 --clip 1 > 700D_reliable.raw 2>/dev/null; + ./freedv_rx 700D 700D_reliable.raw /dev/null --txtrx 700D_reliable.txt --reliabletext 2>/dev/null; + cat 700D_reliable.txt | wc -l" +90: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +90: Test timeout computed to be: 1500 70: frame: 486 sync: 1 nin: 1280 snr: -1.73 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 487 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 488 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 @@ -13865,9 +14320,6 @@ 70: frame: 490 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 491 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 492 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 -72: 120+0 records in -72: 120+0 records out -72: 307200 bytes (307 kB, 300 KiB) copied, 1.0337 s, 297 kB/s 70: frame: 493 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 494 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 495 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 @@ -13875,26 +14327,7 @@ 70: frame: 497 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 498 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 499 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 -72: ch: SNR3k(dB): 2.11 C/No....: 36.88 -72: ch: peak.....: 16419.89 RMS.....: 6982.37 CPAPR.....: 7.43 -72: ch: Nsamples.: 153600 clipped.: 0.00% OutClipped: 0.00% -72: frames decoded: 120 output speech samples: 2560 -72: BER......: 0.0183 Tbits: 27612 Terrs: 506 -72: Coded BER: 0.0038 Tbits: 13216 Terrs: 50 -72: Coded PER: 0.0508 Tpkts: 118 Tpers: 6 70: frame: 500 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 - 70/155 Test #72: test_freedv_api_700D_AWGN_BER_USECOMPLEX ....... Passed 1.20 sec -test 73 - Start 73: test_freedv_api_700D_real_comp - -73: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; - PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/demo:/build/reproducible-path/codec2-1.2.0/Build/unittest; - ./check_real_comp.sh" -73: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -73: Test timeout computed to be: 1500 -73: + cat ../raw/ve9qrp_10s.raw -62: ...............................................................................................written: 819200 -73: + freedv_700d_tx 70: frame: 501 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 502 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 70: frame: 503 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 403 clock_off: 0.00 foff: 9.98 @@ -13921,8 +14354,6 @@ 70: frame: 524 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 70: frame: 525 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 70: frame: 526 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 -73: + freedv_700d_comptx -73: + cat ../raw/ve9qrp_10s.raw 70: frame: 527 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 70: frame: 528 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 70: frame: 529 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 414 clock_off: 0.00 foff: -3.55 @@ -13948,238 +14379,82 @@ 70: frame: 549 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 70: frame: 550 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 70: frame: 551 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -73: + octave-cli -p ../octave -qf -73: ./check_real_comp.sh: line 15: octave-cli: command not found 70: frame: 552 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -73: + echo 'tx_real=load_raw('\''tx_700d.int16'\''); tx_comp=load_raw('\''tx_700d.iq16'\''); tx_comp=tx_comp(1:2:end)+j*tx_comp(2:2:end); diff = sum(real(tx_comp)-tx_real); printf('\''diff: %f\n'\'', diff); if diff < 1, quit(0), end; quit(1)' - 71/155 Test #73: test_freedv_api_700D_real_comp .................***Failed 0.90 sec -+ cat ../raw/ve9qrp_10s.raw -+ freedv_700d_tx -+ freedv_700d_comptx -+ cat ../raw/ve9qrp_10s.raw -+ octave-cli -p ../octave -qf -./check_real_comp.sh: line 15: octave-cli: command not found -+ echo 'tx_real=load_raw('\''tx_700d.int16'\''); tx_comp=load_raw('\''tx_700d.iq16'\''); tx_comp=tx_comp(1:2:end)+j*tx_comp(2:2:end); diff = sum(real(tx_comp)-tx_real); printf('\''diff: %f\n'\'', diff); if diff < 1, quit(0), end; quit(1)' - -test 74 - Start 74: test_freedv_api_700D_comptx - -74: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/unittest; - cat /build/reproducible-path/codec2-1.2.0/raw/ve9qrp_10s.raw | - ./freedv_700d_comptx | - ./freedv_700d_comprx tx > /dev/null" -74: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -74: Test timeout computed to be: 1500 70: frame: 553 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 70: frame: 554 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: 1 70: frame: 555 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 0 snr_est: -5.000000 70: frame: 556 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 0 snr_est: -5.000000 -74: sync: 1 snr_est: 6.343156 -74: sync: 1 snr_est: 8.114625 -74: sync: 1 snr_est: 8.099811 -74: sync: 1 snr_est: 9.174468 70: frame: 557 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.018172 70: frame: 558 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.859909 -74: sync: 1 snr_est: 8.791308 -74: sync: 1 snr_est: 8.533140 -74: sync: 1 snr_est: 8.396894 70: frame: 559 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.372688 70: frame: 560 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.241596 -74: sync: 1 snr_est: 8.212548 -74: sync: 1 snr_est: 8.086809 70: frame: 561 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.843225 -74: sync: 1 snr_est: 8.927224 70: frame: 562 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.415097 -74: sync: 1 snr_est: 9.376193 -74: sync: 1 snr_est: 10.416964 70: frame: 563 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 10.202601 70: frame: 564 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 10.060744 -74: sync: 1 snr_est: 9.765476 70: frame: 565 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.553941 70: frame: 566 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.392133 -62: ..measured double sided (real) noise power: 312.522326 -74: sync: 1 snr_est: 9.243319 -74: sync: 1 snr_est: 9.225810 -74: sync: 1 snr_est: 9.012235 +88: 0 + 87/155 Test #88: test_freedv_reliable_text_truncate_string ......***Failed Required regular expression not found. Regex=[20 +] 2.81 sec +0 + +test 91 + Start 91: test_freedv_reliable_text_ideal_700E + +91: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 700E ../../raw/ve9qrp.raw - --reliabletext AB1CDEF --txbpf 1 --clip 1 > 700E_reliable.raw 2>/dev/null; + ./freedv_rx 700E 700E_reliable.raw /dev/null --txtrx 700E_reliable.txt --reliabletext 2>/dev/null; + cat 700E_reliable.txt | wc -l" +91: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +91: Test timeout computed to be: 1500 70: frame: 567 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.853650 -74: sync: 1 snr_est: 8.670654 -74: sync: 1 snr_est: 8.954823 70: frame: 568 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.836808 -74: sync: 1 snr_est: 8.914849 70: frame: 569 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.277812 -74: sync: 1 snr_est: 9.145962 70: frame: 570 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.707406 -74: sync: 1 snr_est: 9.555504 -74: sync: 1 snr_est: 9.805727 70: frame: 571 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.661585 -74: sync: 1 snr_est: 9.424520 70: frame: 572 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 10.123995 70: frame: 573 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.965890 -74: sync: 1 snr_est: 9.818349 70: frame: 574 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.664690 -74: sync: 1 snr_est: 9.356380 -74: sync: 1 snr_est: 9.315892 70: frame: 575 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.196953 -74: sync: 1 snr_est: 9.070307 -74: sync: 1 snr_est: 8.903056 70: frame: 576 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.765244 -74: sync: 1 snr_est: 8.690137 70: frame: 577 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 8.683470 70: frame: 578 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 10.145680 -74: sync: 1 snr_est: 9.941775 -74: sync: 1 snr_est: 9.908038 70: frame: 579 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.733982 -74: sync: 1 snr_est: 9.365714 70: frame: 580 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.097569 -74: sync: 1 snr_est: 10.151118 70: frame: 581 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -74: sync: 1 snr_est: 9.957577 -74: sync: 1 snr_est: 9.800738 -74: sync: 1 snr_est: 9.624794 -74: frames: 62 sum_sync: 60 snr_av: 9.20 dB - 72/155 Test #74: test_freedv_api_700D_comptx .................... Passed 0.64 sec -test 75 - Start 75: test_freedv_api_700D_comprx - -75: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/unittest; - cat /build/reproducible-path/codec2-1.2.0/raw/ve9qrp_10s.raw | - ./freedv_700d_comptx | - ./freedv_700d_comprx rx > /dev/null" -75: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -75: Test timeout computed to be: 1500 70: frame: 582 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -75: 2 70: frame: 583 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -75: sync: 0 snr_est: -5.000000 70: frame: 584 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -75: sync: 0 snr_est: -5.000000 -75: sync: 1 snr_est: 6.509252 -75: sync: 1 snr_est: 8.244029 -75: sync: 1 snr_est: 8.222151 70: frame: 585 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 435 clock_off: 0.00 foff: -0.68 -75: sync: 1 snr_est: 9.284601 -75: sync: 1 snr_est: 9.108254 -75: sync: 1 snr_est: 8.944138 -75: sync: 1 snr_est: 8.887630 70: frame: 586 sync: 1 nin: 1280 snr: -2.43 dB bit errors: 440 clock_off: 0.00 foff: 1.89 -75: sync: 1 snr_est: 8.606930 -75: sync: 1 snr_est: 8.458365 70: frame: 587 sync: 1 nin: 1280 snr: -2.55 dB bit errors: 442 clock_off: 0.00 foff: 1.80 -75: sync: 1 snr_est: 8.432646 70: frame: 588 sync: 1 nin: 1280 snr: -2.56 dB bit errors: 445 clock_off: 0.00 foff: 2.04 -75: sync: 1 snr_est: 8.301448 -75: sync: 1 snr_est: 8.266542 -75: sync: 1 snr_est: 8.141373 -75: sync: 1 snr_est: 8.938277 70: frame: 589 sync: 0 nin: 0 snr: -5.00 dB bit errors: 445 clock_off: 0.00 foff: 2.04 -75: sync: 1 snr_est: 9.471864 -75: sync: 1 snr_est: 9.554093 70: frame: 590 sync: 1 nin: 1280 snr: -2.66 dB bit errors: 450 clock_off: 0.00 foff: 2.92 -75: sync: 1 snr_est: 9.494676 -75: sync: 1 snr_est: 10.516977 70: frame: 591 sync: 1 nin: 1280 snr: -2.71 dB bit errors: 454 clock_off: 0.00 foff: 3.19 -75: sync: 1 snr_est: 10.293685 -75: sync: 1 snr_est: 10.154043 -75: sync: 1 snr_est: 9.895025 70: frame: 592 sync: 0 nin: 0 snr: -5.00 dB bit errors: 454 clock_off: 0.00 foff: 3.19 -75: sync: 1 snr_est: 9.678865 70: frame: 593 sync: 1 nin: 1280 snr: -2.84 dB bit errors: 462 clock_off: 0.00 foff: 5.02 -75: sync: 1 snr_est: 9.509424 -75: sync: 1 snr_est: 9.357119 70: frame: 594 sync: 1 nin: 1280 snr: -2.33 dB bit errors: 465 clock_off: 0.00 foff: 5.19 -75: sync: 1 snr_est: 9.340798 -75: sync: 1 snr_est: 9.125976 -75: sync: 1 snr_est: 8.961843 -75: sync: 1 snr_est: 8.775743 70: frame: 595 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 465 clock_off: 0.00 foff: 5.19 -75: sync: 1 snr_est: 9.170179 -75: sync: 1 snr_est: 9.030161 70: frame: 596 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 465 clock_off: 0.00 foff: 5.19 -75: sync: 1 snr_est: 9.027443 -75: sync: 1 snr_est: 9.263516 -75: sync: 1 snr_est: 9.128694 70: frame: 597 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 465 clock_off: 0.00 foff: 5.19 -75: sync: 1 snr_est: 9.786623 -75: sync: 1 snr_est: 9.625856 70: frame: 598 sync: 1 nin: 1280 snr: -2.42 dB bit errors: 469 clock_off: 0.00 foff: 9.11 70: frame: 599 sync: 1 nin: 1280 snr: -2.48 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.856807 -75: sync: 1 snr_est: 9.712361 70: frame: 600 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.472477 -75: sync: 1 snr_est: 10.018430 70: frame: 601 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.884554 -75: sync: 1 snr_est: 9.757220 70: frame: 602 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.605175 70: frame: 603 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.326384 -75: sync: 1 snr_est: 9.302133 70: frame: 604 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.195293 -75: sync: 1 snr_est: 9.084089 70: frame: 605 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 8.905858 70: frame: 606 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 8.735284 70: frame: 607 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 8.666572 -75: sync: 1 snr_est: 8.645222 -75: sync: 1 snr_est: 10.049102 70: frame: 608 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.857759 70: frame: 609 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.804831 -75: sync: 1 snr_est: 9.643269 70: frame: 610 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.277040 70: frame: 611 sync: 0 nin: 2 snr: -5.00 dB bit errors: 475 clock_off: 0.00 foff: 9.07 -75: sync: 1 snr_est: 9.028326 70: frame: 612 sync: 1 nin: 1280 snr: -2.52 dB bit errors: 479 clock_off: 0.00 foff: -2.30 -75: sync: 1 snr_est: 10.234185 70: frame: 613 sync: 1 nin: 1280 snr: -2.26 dB bit errors: 485 clock_off: 0.00 foff: -2.06 -75: sync: 1 snr_est: 10.036665 -75: sync: 1 snr_est: 9.914729 -75: sync: 1 snr_est: 9.740762 -75: Demod/Interferer power ratio: -5.45 dB -75: frames: 62 sum_sync: 60 snr_av: 9.25 dB - 73/155 Test #75: test_freedv_api_700D_comprx .................... Passed 0.43 sec -test 76 - Start 76: test_freedv_api_2020_to_ofdm_demod - -76: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 2020 ../../wav/wia_16kHz.wav - --testframes | - ./ofdm_demod --mode 2020 --verbose 1 --ldpc --testframes > /dev/null" -76: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -76: Test timeout computed to be: 1500 70: frame: 614 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 485 clock_off: 0.00 foff: -2.06 70: frame: 615 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 485 clock_off: 0.00 foff: -2.06 70: frame: 616 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 485 clock_off: 0.00 foff: -2.06 @@ -14193,44 +14468,14 @@ 70: frame: 624 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 496 clock_off: 0.00 foff: 6.13 70: frame: 625 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 496 clock_off: 0.00 foff: 6.13 70: frame: 626 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 496 clock_off: 0.00 foff: 6.13 -76: BER......: 0.0000 Tbits: 1260 Terrs: 0 Tpackets: 3 SNR3kdB: 39.46 -76: Coded BER: 0.0000 Tbits: 936 Terrs: 0 -76: Coded PER: 0.0000 Tpkts: 3 Tpers: 0 Thruput: 3 - 74/155 Test #76: test_freedv_api_2020_to_ofdm_demod ............. Passed 0.19 sec -test 77 - Start 77: test_freedv_api_2020_from_ofdm_mod - -77: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./ofdm_mod --in /dev/zero --mode 2020 --verbose 1 --ldpc --testframes 10 | - ./freedv_rx 2020 - /dev/null --testframes" -77: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -77: Test timeout computed to be: 1500 70: frame: 627 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 496 clock_off: 0.00 foff: 6.13 -77: Ndatabitsperpacket: 312 Npayloadbitsperpacket: 420 Nsamperpacket: 1440 -77: Npackets: 56 70: frame: 628 sync: 0 nin: 1278 snr: -5.00 dB bit errors: 496 clock_off: 0.00 foff: 6.13 70: frame: 629 sync: 1 nin: 1280 snr: -2.56 dB bit errors: 499 clock_off: 0.00 foff: 2.87 70: frame: 630 sync: 1 nin: 1280 snr: -1.58 dB bit errors: 505 clock_off: 0.00 foff: 2.60 70: frame: 631 sync: 0 nin: 2 snr: -5.00 dB bit errors: 505 clock_off: 0.00 foff: 2.60 70: frame: 632 sync: 1 nin: 1280 snr: -1.77 dB bit errors: 508 clock_off: 0.00 foff: 2.29 -62: ...total iters 4715 -62: Raw Tbits: 819200 Terr: 63990 BER: 0.078 -62: Coded Tbits: 409600 Terr: 0 BER: 0.000 -62: Tpkts: 100 Tper: 0 PER: 0.000 - 75/155 Test #62: test_ldpc_enc_dec_H_4096_8192_3d ............... Passed 27.70 sec -test 78 - Start 78: test_freedv_api_2020_awgn - -78: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - dd bs=32000 count=10 if=/dev/zero | - ./freedv_tx 2020 - - --testframes | - ./ch - - --No -24 | - ./freedv_rx 2020 - /dev/null --testframes" -78: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -78: Test timeout computed to be: 1500 70: frame: 633 sync: 1 nin: 1280 snr: -1.89 dB bit errors: 512 clock_off: 0.00 foff: 2.15 70: frame: 634 sync: 0 nin: 0 snr: -5.00 dB bit errors: 512 clock_off: 0.00 foff: 2.15 -78: ch: Fs: 8000 NodB: -24.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 70: frame: 635 sync: 1 nin: 1280 snr: -2.08 dB bit errors: 515 clock_off: 0.00 foff: 0.81 70: frame: 636 sync: 1 nin: 1280 snr: -2.23 dB bit errors: 519 clock_off: 0.00 foff: 0.70 70: frame: 637 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 519 clock_off: 0.00 foff: 0.70 @@ -14242,66 +14487,10 @@ 70: frame: 643 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 527 clock_off: 0.00 foff: 3.38 70: frame: 644 sync: 0 nin: 2 snr: -5.00 dB bit errors: 527 clock_off: 0.00 foff: 3.38 70: frame: 645 sync: 1 nin: 1280 snr: -1.42 dB bit errors: 529 clock_off: 0.00 foff: -0.85 -77: frames decoded: 56 output speech samples: 5760 -77: BER......: 0.0000 Tbits: 23976 Terrs: 0 -77: Coded BER: 0.0000 Tbits: 16848 Terrs: 0 -77: Coded PER: 0.0000 Tpkts: 54 Tpers: 0 - 76/155 Test #77: test_freedv_api_2020_from_ofdm_mod ............. Passed 0.30 sec -test 79 - Start 79: test_freedv_api_2020B_mpp - -79: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - dd bs=32000 count=60 if=/dev/zero | - ./freedv_tx 2020B - - --testframes --clip 1 | - ./ch - - --No -25 --mpp --fading_dir ../unittest | - ./freedv_rx 2020B - /dev/null --testframes" -79: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -79: Test timeout computed to be: 1500 70: frame: 646 sync: 1 nin: 1280 snr: -1.64 dB bit errors: 534 clock_off: 0.00 foff: -1.00 70: frame: 647 sync: 1 nin: 1280 snr: -1.87 dB bit errors: 536 clock_off: 0.00 foff: -1.32 70: frame: 648 sync: 1 nin: 1280 snr: -2.13 dB bit errors: 542 clock_off: 0.00 foff: -1.09 -79: ----------------------------------------------------- -79: ch ERROR: Can't find fading file: ../unittest/fast_fading_samples.float -79: -79: Adjust path --fading_dir or use GNU Octave to generate: -79: -79: $ octave --no-gui -79: octave:24> pkg load signal -79: octave:24> time_secs=60 -79: octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) -79: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) -79: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) -79: ----------------------------------------------------- -79: frames decoded: 0 output speech samples: 0 -79: BER......: nan Tbits: 0 Terrs: 0 -79: Coded BER: nan Tbits: 0 Terrs: 0 -79: Coded PER: nan Tpkts: 0 Tpers: 0 70: frame: 649 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 542 clock_off: 0.00 foff: -1.09 - 77/155 Test #79: test_freedv_api_2020B_mpp ......................***Failed 0.06 sec ------------------------------------------------------ -ch ERROR: Can't find fading file: ../unittest/fast_fading_samples.float - -Adjust path --fading_dir or use GNU Octave to generate: - -$ octave --no-gui -octave:24> pkg load signal -octave:24> time_secs=60 -octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) -octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) -octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) ------------------------------------------------------ -frames decoded: 0 output speech samples: 0 -BER......: nan Tbits: 0 Terrs: 0 -Coded BER: nan Tbits: 0 Terrs: 0 -Coded PER: nan Tpkts: 0 Tpers: 0 - -test 80 - Start 80: test_freedv_api_2400A - -80: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 2400A ../../raw/ve9qrp_10s.raw - | ./freedv_rx 2400A - /dev/null" -80: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -80: Test timeout computed to be: 1500 70: frame: 650 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 542 clock_off: 0.00 foff: -1.09 70: frame: 651 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 542 clock_off: 0.00 foff: -1.09 70: frame: 652 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 542 clock_off: 0.00 foff: -1.09 @@ -14342,7 +14531,18 @@ 70: frame: 687 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 600 clock_off: 0.00 foff: -3.08 70: frame: 688 sync: 0 nin: 0 snr: -5.00 dB bit errors: 600 clock_off: 0.00 foff: -3.08 70: frame: 689 sync: 1 nin: 1280 snr: -2.49 dB bit errors: 606 clock_off: 0.00 foff: -2.34 +89: 20 70: frame: 690 sync: 1 nin: 1280 snr: -2.07 dB bit errors: 610 clock_off: 0.00 foff: -2.08 + 88/155 Test #89: test_freedv_reliable_text_ideal_1600 ........... Passed 3.09 sec +test 92 + Start 92: test_freedv_reliable_text_awgn_1600 + +92: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEF | ./ch - - --No -25 -f -5 > 1600_reliable.raw 2>/dev/null; + ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; + if [ `cat 1600_reliable.txt | wc -l` -ge 10 ]; then echo 1; fi" +92: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +92: Test timeout computed to be: 1500 70: frame: 691 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 610 clock_off: 0.00 foff: -2.08 70: frame: 692 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 610 clock_off: 0.00 foff: -2.08 70: frame: 693 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 610 clock_off: 0.00 foff: -2.08 @@ -14371,11 +14571,11 @@ 70: frame: 716 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 717 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 718 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 -70: frame: 719 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: ch: SNR3k(dB): -9.79 C/No....: 24.98 70: ch: peak.....: 17106.16 RMS.....: 7063.47 CPAPR.....: 7.68 70: ch: Nsamples.: 898560 clipped.: 0.00% OutClipped: 2.31% 70: ch: WARNING output clipping +70: frame: 719 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 720 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 721 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 722 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 @@ -14384,15 +14584,6 @@ 70: frame: 725 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 726 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 727 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 -80: frames decoded: 250 output speech samples: 80013 - 78/155 Test #80: test_freedv_api_2400A .......................... Passed 0.90 sec -test 81 - Start 81: test_freedv_api_2400B - -81: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 2400B ../../raw/ve9qrp_10s.raw - | ./freedv_rx 2400B - /dev/null" -81: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -81: Test timeout computed to be: 1500 70: frame: 728 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 729 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 70: frame: 730 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 644 clock_off: 0.00 foff: -5.16 @@ -14408,166 +14599,9 @@ 70: frame: 740 sync: 1 nin: 1280 snr: -1.15 dB bit errors: 655 clock_off: 0.00 foff: 0.45 70: frame: 741 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 655 clock_off: 0.00 foff: 0.45 70: frame: 742 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 655 clock_off: 0.00 foff: 0.45 -81: frames decoded: 250 output speech samples: 80000 - 79/155 Test #81: test_freedv_api_2400B .......................... Passed 0.25 sec -test 82 - Start 82: test_freedv_api_800XA - -82: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 800XA ../../raw/ve9qrp_10s.raw - | ./freedv_rx 800XA - /dev/null" -82: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -82: Test timeout computed to be: 1500 70: frame: 743 sync: 0 nin: 1280 snr: -5.00 dB bit errors: 655 clock_off: 0.00 foff: 0.45 70: frames decoded: 743 output speech samples: 0 - 80/155 Test #70: test_freedv_api_700D_burble .................... Passed 12.23 sec -test 83 - Start 83: test_freedv_api_rawdata_800XA - -83: Test command: /usr/bin/sh "-c" "./tfreedv_800XA_rawdata" -83: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest -83: Test timeout computed to be: 1500 -83: freedv_api tests for mode 800XA -83: freedv_open(FREEDV_MODE_800XA) Passed -83: freedv_get_mode() Passed -83: freedv_get_n_max_modem_samples() 660 Passed -83: freedv_get_n_nom_modem_samples() 640 Passed -83: freedv_get_n_speech_samples() 640 Passed -83: freedv_get_n_bits_per_codec_frame() 28 Passed -83: freedv_get_n_bits_per_modem_frame() 56 Passed -83: freedv_codec_frames_from_rawdata() Passed -83: freedv_rawdata_from_codec_frames() Passed -83: freedv_rawdatatx()/freedv_rawdatarx() Passed -83: Tests passed - 81/155 Test #83: test_freedv_api_rawdata_800XA .................. Passed 0.02 sec -test 84 - Start 84: test_freedv_api_rawdata_2400A - -84: Test command: /usr/bin/sh "-c" "./tfreedv_2400A_rawdata" -84: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest -84: Test timeout computed to be: 1500 -84: freedv_api tests for mode 2400A -84: freedv_open(FREEDV_MODE_2400A) Passed -84: freedv_get_mode() Passed -84: freedv_get_n_max_modem_samples() 2040 Passed -84: freedv_get_n_nom_modem_samples() 2000 Passed -84: freedv_get_n_speech_samples() 320 Passed -84: freedv_get_n_bits_per_codec_frame() 52 Passed -84: freedv_get_n_bits_per_modem_frame() 52 Passed -84: freedv_rawdatatx()/freedv_rawdatarx() Tests passed - 82/155 Test #84: test_freedv_api_rawdata_2400A .................. Passed 0.05 sec -test 85 - Start 85: test_freedv_api_rawdata_2400B - -85: Test command: /usr/bin/sh "-c" "./tfreedv_2400B_rawdata" -85: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/unittest -85: Test timeout computed to be: 1500 -85: freedv_api tests for mode 2400B -85: freedv_open(FREEDV_MODE_2400B) Passed -85: freedv_get_mode() Passed -85: freedv_get_n_max_modem_samples() 1930 Passed -85: freedv_get_n_nom_modem_samples() 1920 Passed -85: freedv_get_n_speech_samples() 320 Passed -85: freedv_get_n_bits_per_codec_frame() 52 Passed -85: freedv_get_n_bits_per_modem_frame() 52 Passed -85: freedv_rawdatatx()/freedv_rawdatarx() Tests passed - 83/155 Test #85: test_freedv_api_rawdata_2400B .................. Passed 0.04 sec -test 86 - Start 86: test_peak_levels - -86: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; - PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./check_peak.sh" -86: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -86: Test timeout computed to be: 1500 -82: frames decoded: 125 output speech samples: 80000 - 84/155 Test #82: test_freedv_api_800XA .......................... Passed 0.30 sec -test 87 - Start 87: test_peak_levels_lpcnet - -87: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; - PATH=$PATH:/build/reproducible-path/codec2-1.2.0/Build/src ./check_peak.sh LPCNet" -87: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -87: Test timeout computed to be: 1500 -86: 1600 ./check_peak.sh: line 22: octave-cli: command not found -86: 700C ./check_peak.sh: line 22: octave-cli: command not found -78: 10+0 records in -78: 10+0 records out -78: 320000 bytes (320 kB, 312 KiB) copied, 2.50207 s, 128 kB/s -86: 700D ./check_peak.sh: line 22: octave-cli: command not found -78: ch: SNR3k(dB): 4.31 C/No....: 39.08 -78: frames decoded: 55 output speech samples: 5760 -78: BER......: 0.0165 Tbits: 23532 Terrs: 388 -78: Coded BER: 0.0027 Tbits: 16536 Terrs: 45 -78: Coded PER: 0.0755 Tpkts: 53 Tpers: 4 -78: ch: peak.....: 13874.81 RMS.....: 5673.74 CPAPR.....: 7.77 -78: ch: Nsamples.: 79200 clipped.: 0.00% OutClipped: 0.00% - 85/155 Test #78: test_freedv_api_2020_awgn ...................... Passed 2.94 sec -test 88 - Start 88: test_freedv_reliable_text_truncate_string - -88: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEFGH > 1600_reliable.raw 2>/dev/null; - ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; - grep 'AB1CDEFG' 1600_reliable.txt | wc -l" -88: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -88: Test timeout computed to be: 1500 -87: 2020 ./check_peak.sh: line 22: octave-cli: command not found -86: 700E ./check_peak.sh: line 22: octave-cli: command not found -86: 800XA ./check_peak.sh: line 22: octave-cli: command not found -86: 2400A ./check_peak.sh: line 22: octave-cli: command not found -86: 2400B ./check_peak.sh: line 22: octave-cli: command not found -86: datac0 ./check_peak.sh: line 34: octave-cli: command not found -86: datac1 ./check_peak.sh: line 34: octave-cli: command not found -87: 2020B ./check_peak.sh: line 22: octave-cli: command not found - 86/155 Test #87: test_peak_levels_lpcnet ........................ Passed 2.96 sec -test 89 - Start 89: test_freedv_reliable_text_ideal_1600 - -89: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEF > 1600_reliable.raw 2>/dev/null; - ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; - cat 1600_reliable.txt | wc -l" -89: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -89: Test timeout computed to be: 1500 -86: datac3 ./check_peak.sh: line 34: octave-cli: command not found -86: datac4 ./check_peak.sh: line 34: octave-cli: command not found -86: datac13 ./check_peak.sh: line 34: octave-cli: command not found - 87/155 Test #86: test_peak_levels ............................... Passed 3.91 sec -test 90 - Start 90: test_freedv_reliable_text_ideal_700D - -90: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 700D ../../raw/ve9qrp.raw - --reliabletext AB1CDEF --txbpf 1 --clip 1 > 700D_reliable.raw 2>/dev/null; - ./freedv_rx 700D 700D_reliable.raw /dev/null --txtrx 700D_reliable.txt --reliabletext 2>/dev/null; - cat 700D_reliable.txt | wc -l" -90: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -90: Test timeout computed to be: 1500 -88: 0 - 88/155 Test #88: test_freedv_reliable_text_truncate_string ......***Failed Required regular expression not found. Regex=[20 -] 6.35 sec -0 - -test 91 - Start 91: test_freedv_reliable_text_ideal_700E - -91: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 700E ../../raw/ve9qrp.raw - --reliabletext AB1CDEF --txbpf 1 --clip 1 > 700E_reliable.raw 2>/dev/null; - ./freedv_rx 700E 700E_reliable.raw /dev/null --txtrx 700E_reliable.txt --reliabletext 2>/dev/null; - cat 700E_reliable.txt | wc -l" -91: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -91: Test timeout computed to be: 1500 -89: 20 - 89/155 Test #89: test_freedv_reliable_text_ideal_1600 ........... Passed 6.87 sec -test 92 - Start 92: test_freedv_reliable_text_awgn_1600 - -92: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - ./freedv_tx 1600 ../../raw/ve9qrp.raw - --reliabletext AB1CDEF | ./ch - - --No -25 -f -5 > 1600_reliable.raw 2>/dev/null; - ./freedv_rx 1600 1600_reliable.raw /dev/null --txtrx 1600_reliable.txt --reliabletext 2>/dev/null; - if [ `cat 1600_reliable.txt | wc -l` -ge 10 ]; then echo 1; fi" -92: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -92: Test timeout computed to be: 1500 -90: 21 - 90/155 Test #90: test_freedv_reliable_text_ideal_700D ........... Passed 7.07 sec + 89/155 Test #70: test_freedv_api_700D_burble .................... Passed 7.45 sec test 93 Start 93: test_freedv_reliable_text_awgn_700D @@ -14577,10 +14611,8 @@ if [ `cat 700D_reliable.txt | wc -l` -ge 10 ]; then echo 1; fi" 93: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 93: Test timeout computed to be: 1500 -92: Segmentation fault -93: Segmentation fault 91: 21 - 91/155 Test #91: test_freedv_reliable_text_ideal_700E ........... Passed 9.76 sec + 90/155 Test #91: test_freedv_reliable_text_ideal_700E ........... Passed 4.19 sec test 94 Start 94: test_freedv_reliable_text_awgn_700E @@ -14590,8 +14622,11 @@ if [ `cat 700E_reliable.txt | wc -l` -ge 10 ]; then echo 1; fi" 94: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 94: Test timeout computed to be: 1500 -92: 1 - 92/155 Test #92: test_freedv_reliable_text_awgn_1600 ............ Passed 8.54 sec +90: 14 + 91/155 Test #90: test_freedv_reliable_text_ideal_700D ...........***Failed Required regular expression not found. Regex=[21 +] 6.30 sec +14 + test 95 Start 95: test_freedv_reliable_text_fade_1600 @@ -14611,7 +14646,7 @@ 95: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 95: ----------------------------------------------------- 95: frames decoded: 0 output speech samples: 0 - 93/155 Test #95: test_freedv_reliable_text_fade_1600 ............***Failed 0.13 sec + 92/155 Test #95: test_freedv_reliable_text_fade_1600 ............***Failed 0.08 sec ----------------------------------------------------- ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float @@ -14632,6 +14667,14 @@ 96: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; ./reliable_text_fade.sh 700D -19 8 1 '/build/reproducible-path/codec2-1.2.0/Build/src'" 96: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 96: Test timeout computed to be: 1500 +92: 1 + 93/155 Test #92: test_freedv_reliable_text_awgn_1600 ............ Passed 4.42 sec +test 97 + Start 97: test_freedv_reliable_text_fade_700E + +97: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; ./reliable_text_fade.sh 700E -22 8 1 '/build/reproducible-path/codec2-1.2.0/Build/src'" +97: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +97: Test timeout computed to be: 1500 96: ----------------------------------------------------- 96: ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float 96: @@ -14644,28 +14687,6 @@ 96: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) 96: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 96: ----------------------------------------------------- -96: frames decoded: 0 output speech samples: 0 - 94/155 Test #96: test_freedv_reliable_text_fade_700D ............***Failed 0.12 sec ------------------------------------------------------ -ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float - -Adjust path --fading_dir or use GNU Octave to generate: - -$ octave --no-gui -octave:24> pkg load signal -octave:24> time_secs=60 -octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) -octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) -octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) ------------------------------------------------------ -frames decoded: 0 output speech samples: 0 - -test 97 - Start 97: test_freedv_reliable_text_fade_700E - -97: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/unittest; ./reliable_text_fade.sh 700E -22 8 1 '/build/reproducible-path/codec2-1.2.0/Build/src'" -97: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -97: Test timeout computed to be: 1500 97: ----------------------------------------------------- 97: ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float 97: @@ -14678,8 +14699,9 @@ 97: octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) 97: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 97: ----------------------------------------------------- +96: frames decoded: 0 output speech samples: 0 97: frames decoded: 0 output speech samples: 0 - 95/155 Test #97: test_freedv_reliable_text_fade_700E ............***Failed 0.12 sec + 94/155 Test #96: test_freedv_reliable_text_fade_700D ............***Failed 0.06 sec ----------------------------------------------------- ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float @@ -14703,9 +14725,21 @@ cat 2020_reliable.txt | wc -l" 98: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 98: Test timeout computed to be: 1500 -94: Segmentation fault -93: 1 - 96/155 Test #93: test_freedv_reliable_text_awgn_700D ............ Passed 12.46 sec + 95/155 Test #97: test_freedv_reliable_text_fade_700E ............***Failed 0.06 sec +----------------------------------------------------- +ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float + +Adjust path --fading_dir or use GNU Octave to generate: + +$ octave --no-gui +octave:24> pkg load signal +octave:24> time_secs=60 +octave:25> ch_fading("faster_fading_samples.float", 8000, 2.0, 8000*time_secs) +octave:26> ch_fading("fast_fading_samples.float", 8000, 1.0, 8000*time_secs) +octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) +----------------------------------------------------- +frames decoded: 0 output speech samples: 0 + test 99 Start 99: test_freedv_reliable_text_awgn_2020 @@ -14715,8 +14749,8 @@ if [ `cat 2020_reliable.txt | wc -l` -ge 9 ]; then echo 1; fi" 99: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 99: Test timeout computed to be: 1500 -94: 1 - 97/155 Test #94: test_freedv_reliable_text_awgn_700E ............ Passed 12.09 sec +93: 1 + 96/155 Test #93: test_freedv_reliable_text_awgn_700D ............ Passed 6.03 sec test 100 Start 100: test_freedv_reliable_text_fade_2020 @@ -14736,7 +14770,7 @@ 100: octave:27> ch_fading("slow_fading_samples.float", 8000, 0.1, 8000*time_secs) 100: ----------------------------------------------------- 100: frames decoded: 0 output speech samples: 0 - 98/155 Test #100: test_freedv_reliable_text_fade_2020 ............***Failed 0.16 sec + 97/155 Test #100: test_freedv_reliable_text_fade_2020 ............***Failed 0.11 sec ----------------------------------------------------- ch ERROR: Can't find fading file: /build/reproducible-path/codec2-1.2.0/Build/src/../unittest/fast_fading_samples.float @@ -14757,10 +14791,10 @@ 101: Test command: /usr/bin/sh "-c" " valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx 1600 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw /dev/null" 101: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 101: Test timeout computed to be: 1500 -101: /usr/bin/sh: 1: valgrind: not found - 99/155 Test #101: test_memory_leak_FreeDV_1600_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +101: /usr/bin/sh: line 1: valgrind: command not found + 98/155 Test #101: test_memory_leak_FreeDV_1600_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors ] 0.01 sec -/usr/bin/sh: 1: valgrind: not found +/usr/bin/sh: line 1: valgrind: command not found test 102 Start 102: test_memory_leak_FreeDV_1600_rx @@ -14768,10 +14802,10 @@ 102: Test command: /usr/bin/sh "-c" "./freedv_tx 1600 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw t.raw; valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_rx 1600 t.raw /dev/null" 102: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 102: Test timeout computed to be: 1500 -102: /usr/bin/sh: 1: valgrind: not found -100/155 Test #102: test_memory_leak_FreeDV_1600_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.11 sec -/usr/bin/sh: 1: valgrind: not found +102: /usr/bin/sh: line 1: valgrind: command not found + 99/155 Test #102: test_memory_leak_FreeDV_1600_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.04 sec +/usr/bin/sh: line 1: valgrind: command not found test 103 Start 103: test_memory_leak_FreeDV_700D_tx @@ -14779,10 +14813,10 @@ 103: Test command: /usr/bin/sh "-c" " valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx 700D /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw /dev/null" 103: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 103: Test timeout computed to be: 1500 -103: /usr/bin/sh: 1: valgrind: not found -101/155 Test #103: test_memory_leak_FreeDV_700D_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +103: /usr/bin/sh: line 1: valgrind: command not found +100/155 Test #103: test_memory_leak_FreeDV_700D_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors ] 0.01 sec -/usr/bin/sh: 1: valgrind: not found +/usr/bin/sh: line 1: valgrind: command not found test 104 Start 104: test_memory_leak_FreeDV_700D_rx @@ -14790,10 +14824,10 @@ 104: Test command: /usr/bin/sh "-c" "./freedv_tx 700D /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw t.raw; valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_rx 700D t.raw /dev/null" 104: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 104: Test timeout computed to be: 1500 -104: /usr/bin/sh: 1: valgrind: not found -102/155 Test #104: test_memory_leak_FreeDV_700D_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.19 sec -/usr/bin/sh: 1: valgrind: not found +104: /usr/bin/sh: line 1: valgrind: command not found +101/155 Test #104: test_memory_leak_FreeDV_700D_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.05 sec +/usr/bin/sh: line 1: valgrind: command not found test 105 Start 105: test_memory_leak_FreeDV_700C_tx @@ -14801,10 +14835,10 @@ 105: Test command: /usr/bin/sh "-c" " valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx 700C /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw /dev/null" 105: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 105: Test timeout computed to be: 1500 -105: /usr/bin/sh: 1: valgrind: not found -103/155 Test #105: test_memory_leak_FreeDV_700C_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +105: /usr/bin/sh: line 1: valgrind: command not found +102/155 Test #105: test_memory_leak_FreeDV_700C_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors ] 0.01 sec -/usr/bin/sh: 1: valgrind: not found +/usr/bin/sh: line 1: valgrind: command not found test 106 Start 106: test_memory_leak_FreeDV_700C_rx @@ -14813,10 +14847,10 @@ ./freedv_tx 700C /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw t.raw; valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_rx 700C t.raw /dev/null" 106: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 106: Test timeout computed to be: 1500 -106: /usr/bin/sh: 2: valgrind: not found -104/155 Test #106: test_memory_leak_FreeDV_700C_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.09 sec -/usr/bin/sh: 2: valgrind: not found +106: /usr/bin/sh: line 2: valgrind: command not found +103/155 Test #106: test_memory_leak_FreeDV_700C_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.07 sec +/usr/bin/sh: line 2: valgrind: command not found test 107 Start 107: test_memory_leak_FreeDV_FSK_LDPC_tx @@ -14825,10 +14859,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 FSK_LDPC /dev/zero /dev/null" 107: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 107: Test timeout computed to be: 1500 -107: /usr/bin/sh: 2: valgrind: not found -105/155 Test #107: test_memory_leak_FreeDV_FSK_LDPC_tx ............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +107: /usr/bin/sh: line 2: valgrind: command not found +104/155 Test #107: test_memory_leak_FreeDV_FSK_LDPC_tx ............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors ] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +/usr/bin/sh: line 2: valgrind: command not found test 108 Start 108: test_memory_leak_FreeDV_DATAC0_tx @@ -14837,10 +14871,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 DATAC0 /dev/zero /dev/null" 108: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 108: Test timeout computed to be: 1500 -108: /usr/bin/sh: 2: valgrind: not found -106/155 Test #108: test_memory_leak_FreeDV_DATAC0_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +108: /usr/bin/sh: line 2: valgrind: command not found +105/155 Test #108: test_memory_leak_FreeDV_DATAC0_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors ] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +/usr/bin/sh: line 2: valgrind: command not found test 109 Start 109: test_memory_leak_FreeDV_DATAC1_tx @@ -14849,10 +14883,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 DATAC1 /dev/zero /dev/null" 109: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 109: Test timeout computed to be: 1500 -109: /usr/bin/sh: 2: valgrind: not found -107/155 Test #109: test_memory_leak_FreeDV_DATAC1_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +109: /usr/bin/sh: line 2: valgrind: command not found +106/155 Test #109: test_memory_leak_FreeDV_DATAC1_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 110 Start 110: test_memory_leak_FreeDV_DATAC3_tx @@ -14861,10 +14895,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 DATAC3 /dev/zero /dev/null" 110: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 110: Test timeout computed to be: 1500 -110: /usr/bin/sh: 2: valgrind: not found -108/155 Test #110: test_memory_leak_FreeDV_DATAC3_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +110: /usr/bin/sh: line 2: valgrind: command not found +107/155 Test #110: test_memory_leak_FreeDV_DATAC3_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 111 Start 111: test_memory_leak_FreeDV_DATAC4_tx @@ -14873,10 +14907,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 DATAC4 /dev/zero /dev/null" 111: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 111: Test timeout computed to be: 1500 -111: /usr/bin/sh: 2: valgrind: not found -109/155 Test #111: test_memory_leak_FreeDV_DATAC4_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +111: /usr/bin/sh: line 2: valgrind: command not found +108/155 Test #111: test_memory_leak_FreeDV_DATAC4_tx ..............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 112 Start 112: test_memory_leak_FreeDV_DATAC13_tx @@ -14885,10 +14919,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_data_raw_tx --testframes 10 DATAC13 /dev/zero /dev/null" 112: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 112: Test timeout computed to be: 1500 -112: /usr/bin/sh: 2: valgrind: not found -110/155 Test #112: test_memory_leak_FreeDV_DATAC13_tx .............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +112: /usr/bin/sh: line 2: valgrind: command not found +109/155 Test #112: test_memory_leak_FreeDV_DATAC13_tx .............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 113 Start 113: test_memory_leak_FreeDV_700E_tx @@ -14897,10 +14931,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx --testframes 10 700E /dev/zero /dev/null" 113: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 113: Test timeout computed to be: 1500 -113: /usr/bin/sh: 2: valgrind: not found -111/155 Test #113: test_memory_leak_FreeDV_700E_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +113: /usr/bin/sh: line 2: valgrind: command not found +110/155 Test #113: test_memory_leak_FreeDV_700E_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 114 Start 114: test_memory_leak_FreeDV_2020_tx @@ -14909,10 +14943,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx 2020 ../../wav/wia_16kHz.wav /dev/null" 114: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 114: Test timeout computed to be: 1500 -114: /usr/bin/sh: 2: valgrind: not found -112/155 Test #114: test_memory_leak_FreeDV_2020_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +114: /usr/bin/sh: line 2: valgrind: command not found +111/155 Test #114: test_memory_leak_FreeDV_2020_tx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 115 Start 115: test_memory_leak_FreeDV_2020_rx @@ -14921,10 +14955,10 @@ ./freedv_tx 2020 ../../wav/wia_16kHz.wav t.raw; valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_rx 2020 t.raw /dev/null" 115: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 115: Test timeout computed to be: 1500 -115: /usr/bin/sh: 2: valgrind: not found -113/155 Test #115: test_memory_leak_FreeDV_2020_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.29 sec -/usr/bin/sh: 2: valgrind: not found +115: /usr/bin/sh: line 2: valgrind: command not found +112/155 Test #115: test_memory_leak_FreeDV_2020_rx ................***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.21 sec +/usr/bin/sh: line 2: valgrind: command not found test 116 Start 116: test_memory_leak_FreeDV_2020B_tx @@ -14933,10 +14967,10 @@ valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_tx 2020B ../../wav/wia_16kHz.wav /dev/null" 116: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 116: Test timeout computed to be: 1500 -116: /usr/bin/sh: 2: valgrind: not found -114/155 Test #116: test_memory_leak_FreeDV_2020B_tx ...............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.01 sec -/usr/bin/sh: 2: valgrind: not found +116: /usr/bin/sh: line 2: valgrind: command not found +113/155 Test #116: test_memory_leak_FreeDV_2020B_tx ...............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.02 sec +/usr/bin/sh: line 2: valgrind: command not found test 117 Start 117: test_memory_leak_FreeDV_2020B_rx @@ -14945,10 +14979,10 @@ ./freedv_tx 2020B ../../wav/wia_16kHz.wav t.raw; valgrind --leak-check=full --show-leak-kinds=all --track-origins=yes ./freedv_rx 2020B t.raw /dev/null" 117: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 117: Test timeout computed to be: 1500 -117: /usr/bin/sh: 2: valgrind: not found -115/155 Test #117: test_memory_leak_FreeDV_2020B_rx ...............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors -] 0.32 sec -/usr/bin/sh: 2: valgrind: not found +117: /usr/bin/sh: line 2: valgrind: command not found +114/155 Test #117: test_memory_leak_FreeDV_2020B_rx ...............***Failed Required regular expression not found. Regex=[ERROR SUMMARY: 0 errors +] 0.13 sec +/usr/bin/sh: line 2: valgrind: command not found test 118 Start 118: test_codec2_mode_dot_c2 @@ -14957,16 +14991,16 @@ 118: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 118: Test timeout computed to be: 1500 118: Detected Codec2 file version 1.2 in mode 8 -116/155 Test #118: test_codec2_mode_dot_c2 ........................ Passed 0.18 sec +115/155 Test #118: test_codec2_mode_dot_c2 ........................ Passed 0.05 sec test 119 Start 119: test_codec2_mode_3200 119: Test command: /usr/bin/sh "-c" "./c2enc 3200 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 3200 - - | sox -t .s16 -r 8000 - hts1a_3200.wav" 119: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 119: Test timeout computed to be: 1500 -119: /usr/bin/sh: 1: sox: not found -117/155 Test #119: test_codec2_mode_3200 ..........................***Failed 0.05 sec -/usr/bin/sh: 1: sox: not found +119: /usr/bin/sh: line 1: sox: command not found +116/155 Test #119: test_codec2_mode_3200 ..........................***Failed 0.04 sec +/usr/bin/sh: line 1: sox: command not found test 120 Start 120: test_codec2_mode_2400 @@ -14974,9 +15008,9 @@ 120: Test command: /usr/bin/sh "-c" "./c2enc 2400 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 2400 - - | sox -t .s16 -r 8000 - hts1a_2400.wav" 120: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 120: Test timeout computed to be: 1500 -120: /usr/bin/sh: 1: sox: not found -118/155 Test #120: test_codec2_mode_2400 ..........................***Failed 0.04 sec -/usr/bin/sh: 1: sox: not found +120: /usr/bin/sh: line 1: sox: command not found +117/155 Test #120: test_codec2_mode_2400 ..........................***Failed 0.04 sec +/usr/bin/sh: line 1: sox: command not found test 121 Start 121: test_codec2_mode_1400 @@ -14984,9 +15018,9 @@ 121: Test command: /usr/bin/sh "-c" "./c2enc 1400 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 1400 - - | sox -t .s16 -r 8000 - hts1a_1400.wav" 121: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 121: Test timeout computed to be: 1500 -121: /usr/bin/sh: 1: sox: not found -119/155 Test #121: test_codec2_mode_1400 ..........................***Failed 0.04 sec -/usr/bin/sh: 1: sox: not found +121: /usr/bin/sh: line 1: sox: command not found +118/155 Test #121: test_codec2_mode_1400 ..........................***Failed 0.04 sec +/usr/bin/sh: line 1: sox: command not found test 122 Start 122: test_codec2_mode_1300 @@ -14994,9 +15028,9 @@ 122: Test command: /usr/bin/sh "-c" "./c2enc 1300 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 1300 - - | sox -t .s16 -r 8000 - hts1a_1300.wav" 122: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 122: Test timeout computed to be: 1500 -122: /usr/bin/sh: 1: sox: not found -120/155 Test #122: test_codec2_mode_1300 ..........................***Failed 0.04 sec -/usr/bin/sh: 1: sox: not found +122: /usr/bin/sh: line 1: sox: command not found +119/155 Test #122: test_codec2_mode_1300 ..........................***Failed 0.04 sec +/usr/bin/sh: line 1: sox: command not found test 123 Start 123: test_codec2_mode_1200 @@ -15004,9 +15038,9 @@ 123: Test command: /usr/bin/sh "-c" "./c2enc 1200 /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 1200 - - | sox -t .s16 -r 8000 - hts1a_1200.wav" 123: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 123: Test timeout computed to be: 1500 -123: /usr/bin/sh: 1: sox: not found -121/155 Test #123: test_codec2_mode_1200 ..........................***Failed 0.05 sec -/usr/bin/sh: 1: sox: not found +123: /usr/bin/sh: line 1: sox: command not found +120/155 Test #123: test_codec2_mode_1200 ..........................***Failed 0.04 sec +/usr/bin/sh: line 1: sox: command not found test 124 Start 124: test_codec2_mode_700C @@ -15014,9 +15048,9 @@ 124: Test command: /usr/bin/sh "-c" "./c2enc 700C /build/reproducible-path/codec2-1.2.0/raw/hts1a.raw - | ./c2dec 700C - - | sox -t .s16 -r 8000 - hts1a_700C.wav" 124: Working Directory: /build/reproducible-path/codec2-1.2.0/Build/src 124: Test timeout computed to be: 1500 -124: /usr/bin/sh: 1: sox: not found -122/155 Test #124: test_codec2_mode_700C ..........................***Failed 0.04 sec -/usr/bin/sh: 1: sox: not found +124: /usr/bin/sh: line 1: sox: command not found +121/155 Test #124: test_codec2_mode_700C ..........................***Failed 0.05 sec +/usr/bin/sh: line 1: sox: command not found test 125 Start 125: test_vq_mbest @@ -15028,8 +15062,8 @@ 125: stage: 1 loading vq2.f32 ... 0 entries of vectors width 4 125: mbest_survivors = 2 125: MSE: nan -123/155 Test #125: test_vq_mbest ..................................***Failed Required regular expression not found. Regex=[MSE: 0.00 -] 0.05 sec +122/155 Test #125: test_vq_mbest ..................................***Failed Required regular expression not found. Regex=[MSE: 0.00 +] 0.07 sec stage: 0 loading vq1.f32 ... 0 entries of vectors width 4 stage: 1 loading vq2.f32 ... 0 entries of vectors width 4 mbest_survivors = 2 @@ -15044,7 +15078,7 @@ 126: Test timeout computed to be: 1500 126: var: 12.63 var_eq: 10.47 126: ./test_700c_eq.sh: line 12: python3: command not found -124/155 Test #126: test_700c_eq ...................................***Failed 0.35 sec +123/155 Test #126: test_700c_eq ...................................***Failed 0.31 sec var: 12.63 var_eq: 10.47 ./test_700c_eq.sh: line 12: python3: command not found @@ -15054,10 +15088,10 @@ 127: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/octave; DISPLAY="" octave-cli -qf fsk_lib_demo.m" 127: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 127: Test timeout computed to be: 1500 -127: /usr/bin/sh: 1: octave-cli: not found -125/155 Test #127: test_fsk_lib ...................................***Failed Required regular expression not found. Regex=[PASS -] 0.00 sec -/usr/bin/sh: 1: octave-cli: not found +127: /usr/bin/sh: line 1: octave-cli: command not found +124/155 Test #127: test_fsk_lib ...................................***Failed Required regular expression not found. Regex=[PASS +] 0.02 sec +/usr/bin/sh: line 1: octave-cli: command not found test 128 Start 128: test_fsk_modem_octave_port @@ -15066,10 +15100,10 @@ PATH_TO_TFSK=/build/reproducible-path/codec2-1.2.0/Build/unittest/tfsk octave-cli -qf tfsk.m" 128: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 128: Test timeout computed to be: 1500 -128: /usr/bin/sh: 2: octave-cli: not found -126/155 Test #128: test_fsk_modem_octave_port .....................***Failed Required regular expression not found. Regex=[PASS -] 0.00 sec -/usr/bin/sh: 2: octave-cli: not found +128: /usr/bin/sh: line 2: octave-cli: command not found +125/155 Test #128: test_fsk_modem_octave_port .....................***Failed Required regular expression not found. Regex=[PASS +] 0.02 sec +/usr/bin/sh: line 2: octave-cli: command not found test 129 Start 129: test_fsk_modem_mod_demod @@ -15083,7 +15117,7 @@ 129: Setting estimator limits to 0 to 4000 Hz. 129: [0099] BER 0.000, bits tested 9900, bit errors 0 129: PASS -127/155 Test #129: test_fsk_modem_mod_demod ....................... Passed 0.35 sec +126/155 Test #129: test_fsk_modem_mod_demod ....................... Passed 0.36 sec test 130 Start 130: test_fsk_2fsk_ber @@ -15095,12 +15129,8 @@ 130: Test timeout computed to be: 1500 130: ch: Fs: 8000 NodB: -26.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 130: Setting estimator limits to 0 to 4000 Hz. -130: ch: SNR3k(dB): -4.40 C/No....: 30.37 -130: [0099] BER 0.002, bits tested 9900, bit errors 24 -130: PASS -130: ch: peak.....: 1664.50 RMS.....: 1653.23 CPAPR.....: 0.06 -130: ch: Nsamples.: 800000 clipped.: 0.00% OutClipped: 0.00% -128/155 Test #130: test_fsk_2fsk_ber .............................. Passed 2.28 sec +94: 1 +127/155 Test #94: test_freedv_reliable_text_awgn_700E ............ Passed 5.75 sec test 131 Start 131: test_fsk_4fsk_ber @@ -15110,8 +15140,8 @@ ./fsk_demod 4 8000 100 - - | ./fsk_put_test_bits -b 0.025 - " 131: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 131: Test timeout computed to be: 1500 -131: Setting estimator limits to 0 to 4000 Hz. 131: ch: Fs: 8000 NodB: -26.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +131: Setting estimator limits to 0 to 4000 Hz. 131: [0001] BER 0.080, bits tested 100, bit errors 8 errs: 8 131: [0002] BER 0.040, bits tested 200, bit errors 8 errs: 0 131: [0003] BER 0.030, bits tested 300, bit errors 9 errs: 1 @@ -15215,7 +15245,7 @@ 131: [0098] BER 0.004, bits tested 9800, bit errors 38 errs: 0 131: [0098] BER 0.004, bits tested 9800, bit errors 38 131: PASS -129/155 Test #131: test_fsk_4fsk_ber .............................. Passed 1.32 sec +128/155 Test #131: test_fsk_4fsk_ber .............................. Passed 0.61 sec test 132 Start 132: test_fsk_4fsk_ber_negative_freq @@ -15226,14 +15256,14 @@ ./fsk_put_test_bits -b 0.025 -q - " 132: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 132: Test timeout computed to be: 1500 -132: Setting estimator limits to -4000 to 4000 Hz. 132: ch: Fs: 8000 NodB: -26.00 foff: -3000.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 1 -132: ch: SNR3k(dB): -4.42 C/No....: 30.36 -132: ch: peak.....: 1722.09 RMS.....: 1650.71 CPAPR.....: 0.37 -132: ch: Nsamples.: 400000 clipped.: 0.00% OutClipped: 0.00% -132: [0099] BER 0.005, bits tested 9900, bit errors 45 -132: PASS -130/155 Test #132: test_fsk_4fsk_ber_negative_freq ................ Passed 1.22 sec +132: Setting estimator limits to -4000 to 4000 Hz. +130: ch: SNR3k(dB): -4.40 C/No....: 30.37 +130: ch: peak.....: 1664.50 RMS.....: 1653.23 CPAPR.....: 0.06 +130: ch: Nsamples.: 800000 clipped.: 0.00% OutClipped: 0.00% +130: [0099] BER 0.002, bits tested 9900, bit errors 24 +130: PASS +129/155 Test #130: test_fsk_2fsk_ber .............................. Passed 1.31 sec test 133 Start 133: test_fsk_4fsk_lockdown @@ -15248,14 +15278,12 @@ 133: Test timeout computed to be: 1500 133: Using custom frame size of 512 bits 133: ch: Fs: 8000 NodB: -16.00 foff: -3000.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 1 -99: Segmentation fault -133: ch: SNR3k(dB): -14.49 C/No....: 20.28 -133: ch: peak.....: 1672.11 RMS.....: 1636.86 CPAPR.....: 0.19 -133: ch: Nsamples.: 1632000 clipped.: 0.00% OutClipped: 0.23% -133: ch: WARNING output clipping -133: [0019] BER 0.080, bits tested 9728, bit errors 783 -133: PASS -131/155 Test #133: test_fsk_4fsk_lockdown ......................... Passed 7.31 sec +132: ch: SNR3k(dB): -4.42 C/No....: 30.36 +132: ch: peak.....: 1722.09 RMS.....: 1650.71 CPAPR.....: 0.37 +132: ch: Nsamples.: 400000 clipped.: 0.00% OutClipped: 0.00% +132: [0099] BER 0.005, bits tested 9900, bit errors 45 +132: PASS +130/155 Test #132: test_fsk_4fsk_ber_negative_freq ................ Passed 0.52 sec test 134 Start 134: test_fsk_lib_4fsk_ldpc @@ -15264,10 +15292,10 @@ 134: Environment variables: 134: CML_PATH=/build/reproducible-path/codec2-1.2.0/cml 134: Test timeout computed to be: 1500 -134: /usr/bin/sh: 1: octave-cli: not found -132/155 Test #134: test_fsk_lib_4fsk_ldpc .........................***Failed Required regular expression not found. Regex=[PASS +134: /usr/bin/sh: line 1: octave-cli: command not found +131/155 Test #134: test_fsk_lib_4fsk_ldpc .........................***Failed Required regular expression not found. Regex=[PASS ] 0.01 sec -/usr/bin/sh: 1: octave-cli: not found +/usr/bin/sh: line 1: octave-cli: command not found test 135 Start 135: test_fsk_framer @@ -15288,7 +15316,7 @@ 135: [0001] BER 0.000, bits tested 100, bit errors 0 errs: 0 135: [0001] BER 0.000, bits tested 100, bit errors 0 135: PASS -133/155 Test #135: test_fsk_framer ................................ Passed 0.04 sec +132/155 Test #135: test_fsk_framer ................................ Passed 0.02 sec test 136 Start 136: test_fsk_framer_ldpc @@ -15297,13 +15325,13 @@ ./tollr | ./deframer - - 224 51 | ./ldpc_dec - /dev/null --code HRA_112_112 --testframes" 136: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 136: Test timeout computed to be: 1500 -136: Using: HRA_112_112 -136: CodeLength: 224 offset: 0 136: uw_hex: 51 uwsize: 8 136: 0 1 0 1 0 0 0 1 136: Using: HRA_112_112 136: Nframes: 10 136: written: 2240 +136: Using: HRA_112_112 +136: CodeLength: 224 offset: 0 136: uw_hex: 51 uwsize: 8 136: 0 1 0 1 0 0 0 1 136: thresh1: 0 thresh2: 3 @@ -15312,7 +15340,7 @@ 136: Raw Tbits: 2016 Terr: 0 BER: 0.000 136: Coded Tbits: 1008 Terr: 0 BER: 0.000 136: Tpkts: 9 Tper: 0 PER: 0.000 -134/155 Test #136: test_fsk_framer_ldpc ........................... Passed 0.04 sec +133/155 Test #136: test_fsk_framer_ldpc ........................... Passed 0.02 sec test 137 Start 137: test_fsk_llr @@ -15330,7 +15358,7 @@ 137: 7.325198 137: 7.325198 137: PASS -135/155 Test #137: test_fsk_llr ................................... Passed 0.03 sec +134/155 Test #137: test_fsk_llr ................................... Passed 0.02 sec test 138 Start 138: test_fsk_4fsk_ldpc @@ -15344,27 +15372,26 @@ ./ldpc_dec - /dev/null --code HRAb_396_504 --testframes" 138: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 138: Test timeout computed to be: 1500 -138: uw_hex: 5186 uwsize: 16 -138: 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 0 138: Using: HRAb_396_504 138: Nframes: 200 -138: Setting estimator limits to 0 to 4000 Hz. +138: uw_hex: 5186 uwsize: 16 +138: 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 0 138: ch: Fs: 8000 NodB: -25.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +138: written: 100800 138: uw_hex: 5186 uwsize: 16 138: 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 0 138: thresh1: 1 thresh2: 6 -138: written: 100800 138: Using: HRAb_396_504 138: CodeLength: 504 offset: 0 +138: Setting estimator limits to 0 to 4000 Hz. 138: found UW! -138: ...................................................................................................................................................................................................ch: SNR3k(dB): -5.37 C/No....: 29.40 -138: ch: peak.....: 1695.23 RMS.....: 1658.24 CPAPR.....: 0.19 -138: ch: Nsamples.: 4160000 clipped.: 0.00% OutClipped: 0.00% -138: ...total iters 1011 -138: Raw Tbits: 99792 Terr: 1106 BER: 0.011 -138: Coded Tbits: 78408 Terr: 0 BER: 0.000 -138: Tpkts: 198 Tper: 0 PER: 0.000 -136/155 Test #138: test_fsk_4fsk_ldpc ............................. Passed 13.36 sec +133: ch: SNR3k(dB): -14.49 C/No....: 20.28 +133: ch: peak.....: 1672.11 RMS.....: 1636.86 CPAPR.....: 0.19 +133: ch: Nsamples.: 1632000 clipped.: 0.00% OutClipped: 0.23% +133: ch: WARNING output clipping +133: [0019] BER 0.080, bits tested 9728, bit errors 783 +133: PASS +135/155 Test #133: test_fsk_4fsk_lockdown ......................... Passed 7.42 sec test 139 Start 139: test_fsk_vhf_framer @@ -15377,8 +15404,14 @@ 139: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 139: Test timeout computed to be: 1500 139: Setting estimator limits to 0 to 4000 Hz. -139: BER Estimate: 0.000000 total_uw_err: 0 -137/155 Test #139: test_fsk_vhf_framer ............................ Passed 0.41 sec +138: ...................................................................................................................................................................................................ch: SNR3k(dB): -5.37 C/No....: 29.40 +138: ch: peak.....: 1695.23 RMS.....: 1658.24 CPAPR.....: 0.19 +138: ch: Nsamples.: 4160000 clipped.: 0.00% OutClipped: 0.00% +138: ...total iters 1011 +138: Raw Tbits: 99792 Terr: 1106 BER: 0.011 +138: Coded Tbits: 78408 Terr: 0 BER: 0.000 +138: Tpkts: 198 Tper: 0 PER: 0.000 +136/155 Test #138: test_fsk_4fsk_ldpc ............................. Passed 7.28 sec test 140 Start 140: test_freedv_data_channel @@ -15432,7 +15465,7 @@ 140: RX callback called with 25 bytes 140: -------------------------------------- 140: tfreedv_data_channel test result: Passed -138/155 Test #140: test_freedv_data_channel ....................... Passed 0.03 sec +137/155 Test #140: test_freedv_data_channel ....................... Passed 0.01 sec test 141 Start 141: test_freedv_data_raw_ofdm_datac0_burst @@ -15441,13 +15474,25 @@ ./freedv_data_raw_rx --framesperburst 2 --testframes DATAC0 - /dev/null --vv" 141: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 141: Test timeout computed to be: 1500 -141: payload bytes_per_modem_frame: 14 141: payload bytes_per_modem_frame: 14 +141: payload bytes_per_modem_frame: 14 141: 0 nin: 880 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +139: BER Estimate: 0.000000 total_uw_err: 0 +138/155 Test #139: test_fsk_vhf_framer ............................ Passed 0.28 sec +test 142 + Start 142: test_freedv_data_raw_ofdm_datac0_burst_file + +142: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; + head -c $((14*10)) binaryIn.bin; + ./freedv_data_raw_tx DATAC0 binaryIn.bin - --bursts 10 | + ./freedv_data_raw_rx DATAC0 - binaryOut.bin -v; + diff binaryIn.bin binaryOut.bin" +142: Working Directory: /build/reproducible-path/codec2-1.2.0/Build +142: Test timeout computed to be: 1500 +142: payload bytes_per_modem_frame: 14 payload bytes_per_modem_frame: 14 141: 1 nin: 880 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- 141: 2 nin: 880 st: search euw: 8 0 mf: 0 f: 42.0 pbw: 1 rxst: ---- 141: 3 nin: 1280 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -141: mark:space: 0.79 SNR offset: -1.03 141: 4 nin: 880 st: trial euw: 23 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 141: 5 nin: 880 st: trial euw: 14 2 mf: 0 f: -0.0 pbw: 1 rxst: --ST 141: 6 nin: 880 st: trial euw: 0 3 mf: 3 f: -0.0 pbw: 1 rxst: --ST @@ -15456,6 +15501,7 @@ 141: 9 nin: 880 st: synced euw: 17 3 mf: 2 f: -0.0 pbw: 1 rxst: --S- 141: 10 nin: 880 st: synced euw: 0 3 mf: 3 f: -0.0 pbw: 1 rxst: --S- 141: 11 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +141: mark:space: 0.79 SNR offset: -1.03 141: 12 nin: 880 st: search euw: 17 3 mf: 0 f: 0.0 pbw: 1 rxst: ---- 141: 13 nin: 880 st: search euw: 17 3 mf: 0 f: -22.0 pbw: 1 rxst: ---- 141: 14 nin: 1760 st: search euw: 17 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- @@ -15467,6 +15513,7 @@ 141: 20 nin: 880 st: synced euw: 17 3 mf: 2 f: -0.0 pbw: 1 rxst: --S- 141: 21 nin: 880 st: synced euw: 0 3 mf: 3 f: -0.0 pbw: 1 rxst: --S- 141: 22 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 0 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 141: 23 nin: 880 st: search euw: 17 3 mf: 0 f: 0.0 pbw: 1 rxst: ---- 141: 24 nin: 880 st: search euw: 17 3 mf: 0 f: -22.0 pbw: 1 rxst: ---- 141: 25 nin: 1760 st: search euw: 17 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- @@ -15483,31 +15530,7 @@ 141: BER......: 0.0000 Tbits: 1536 Terrs: 0 141: Coded BER: 0.0000 Tbits: 768 Terrs: 0 141: Coded FER: 0.0000 Tfrms: 6 Tfers: 0 -139/155 Test #141: test_freedv_data_raw_ofdm_datac0_burst ......... Passed 2.11 sec -test 142 - Start 142: test_freedv_data_raw_ofdm_datac0_burst_file - -142: Test command: /usr/bin/sh "-c" "cd /build/reproducible-path/codec2-1.2.0/Build/src; - head -c $((14*10)) binaryIn.bin; - ./freedv_data_raw_tx DATAC0 binaryIn.bin - --bursts 10 | - ./freedv_data_raw_rx DATAC0 - binaryOut.bin -v; - diff binaryIn.bin binaryOut.bin" -142: Working Directory: /build/reproducible-path/codec2-1.2.0/Build -142: Test timeout computed to be: 1500 -142: payload bytes_per_modem_frame: 14 payload bytes_per_modem_frame: 14 -142: 0 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 1 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 2 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 3 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 4 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 5 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: mark:space: 0.73 SNR offset: -1.36 -142: 6 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 7 nin: 880 st: synced euw: 22 3 mf: 0 f: 0.0 pbw: 1 snr: 7.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 8 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: 9 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- -142: modembufs: 72 bytes: 140 Frms.: 10 SNRAv: 9.11 -140/155 Test #142: test_freedv_data_raw_ofdm_datac0_burst_file .... Passed 6.11 sec +139/155 Test #141: test_freedv_data_raw_ofdm_datac0_burst ......... Passed 1.13 sec test 143 Start 143: test_freedv_data_raw_ofdm_datac1_burst_file @@ -15518,20 +15541,31 @@ diff binaryIn.bin binaryOut.bin" 143: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 143: Test timeout computed to be: 1500 -143: payload bytes_per_modem_frame: 510 payload bytes_per_modem_frame: 510 -143: 0 nin: 880 st: synced euw: 12 2 mf: 0 f: -0.0 pbw: 1 snr: 13.0 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 1 nin: 880 st: synced euw: 7 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 2 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 3 nin: 880 st: synced euw: 8 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 4 nin: 880 st: synced euw: 6 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 5 nin: 880 st: synced euw: 6 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 6 nin: 880 st: synced euw: 6 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 7 nin: 880 st: synced euw: 8 2 mf: 0 f: -0.0 pbw: 1 snr: 12.7 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: 8 nin: 880 st: synced euw: 8 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +143: payload bytes_per_modem_frame: 510 +142: 1 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: payload bytes_per_modem_frame: 510 0 nin: 880 st: synced euw: 2 2 mf: 0 f: -0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 2 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 1 nin: 880 st: synced euw: 10 2 mf: 0 f: -0.0 pbw: 1 snr: 13.0 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 3 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 4 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 2 nin: 880 st: synced euw: 11 2 mf: 0 f: -0.0 pbw: 1 snr: 13.2 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 5 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 6 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 3 nin: 880 st: synced euw: 10 2 mf: 0 f: -0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +143: 4 nin: 880 st: synced euw: 4 2 mf: 0 f: 0.0 pbw: 1 snr: 12.7 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 7 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 5 nin: 880 st: synced euw: 9 2 mf: 0 f: 0.0 pbw: 1 snr: 13.2 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 8 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 11.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 6 nin: 880 st: synced euw: 6 2 mf: 0 f: -0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 9 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143: 7 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +143: 8 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 10 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 11 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 143: mark:space: 0.95 SNR offset: -0.23 -143: 9 nin: 880 st: synced euw: 9 2 mf: 0 f: 0.0 pbw: 1 snr: 13.0 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -143: modembufs: 412 bytes: 5100 Frms.: 10 SNRAv: 12.94 -141/155 Test #143: test_freedv_data_raw_ofdm_datac1_burst_file .... Passed 7.24 sec +143: 9 nin: 880 st: synced euw: 3 2 mf: 0 f: -0.0 pbw: 1 snr: 13.0 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +143: modembufs: 412 bytes: 5100 Frms.: 10 SNRAv: 12.97 +140/155 Test #143: test_freedv_data_raw_ofdm_datac1_burst_file .... Passed 4.70 sec test 144 Start 144: test_freedv_data_raw_ofdm_datac3_burst_file @@ -15543,19 +15577,30 @@ 144: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 144: Test timeout computed to be: 1500 144: payload bytes_per_modem_frame: 126 payload bytes_per_modem_frame: 126 -144: 0 nin: 880 st: synced euw: 23 3 mf: 0 f: 0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 1 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 2 nin: 880 st: synced euw: 22 3 mf: 0 f: 0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 3 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 4 nin: 880 st: synced euw: 24 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 5 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 6 nin: 880 st: synced euw: 25 3 mf: 0 f: 0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 7 nin: 880 st: synced euw: 23 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 12 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 13 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 0 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 14 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 1 nin: 880 st: synced euw: 24 3 mf: 0 f: 0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +144: 2 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 15 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 16 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 3 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 17 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 4 nin: 880 st: synced euw: 22 3 mf: 0 f: 0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 18 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 5 nin: 880 st: synced euw: 25 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +144: 6 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 19 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 20 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 7 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 21 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 6.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 144: mark:space: 0.93 SNR offset: -0.30 -144: 8 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: 9 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -144: modembufs: 322 bytes: 1260 Frms.: 10 SNRAv: 9.13 -142/155 Test #144: test_freedv_data_raw_ofdm_datac3_burst_file .... Passed 6.48 sec +144: 8 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 22 nin: 880 st: synced euw: 10 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144: 9 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +144: modembufs: 322 bytes: 1260 Frms.: 10 SNRAv: 8.99 +141/155 Test #144: test_freedv_data_raw_ofdm_datac3_burst_file .... Passed 6.04 sec test 145 Start 145: test_freedv_data_raw_ofdm_datac4_burst_file @@ -15566,20 +15611,33 @@ diff binaryIn.bin binaryOut.bin" 145: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 145: Test timeout computed to be: 1500 -145: payload bytes_per_modem_frame: 54 -145: payload bytes_per_modem_frame: 54 0 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 16.6 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 1 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 16.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 2 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 16.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 3 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 17.2 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 4 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 16.4 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 5 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 17.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 6 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 16.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 7 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 16.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: 8 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 16.7 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +145: payload bytes_per_modem_frame: 54 payload bytes_per_modem_frame: 54 +142: 23 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 24 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 0 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 17.4 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 25 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 1 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 16.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 26 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 27 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 2 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 17.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 28 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 3 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 16.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 29 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 4 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 16.8 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 30 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 31 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 5 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 17.3 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 32 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 6 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 17.0 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 33 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 7 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 16.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 34 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145: 8 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 16.1 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +142: 35 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 145: mark:space: 0.96 SNR offset: -0.19 -145: 9 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 16.9 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- -145: modembufs: 502 bytes: 540 Frms.: 10 SNRAv: 16.67 -143/155 Test #145: test_freedv_data_raw_ofdm_datac4_burst_file .... Passed 7.05 sec +145: 9 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 16.5 eraw: 0 ecdd: 0 iter: 1 pcc: 1024 rxst: -BS- +145: modembufs: 502 bytes: 540 Frms.: 10 SNRAv: 16.72 +142/155 Test #145: test_freedv_data_raw_ofdm_datac4_burst_file .... Passed 7.91 sec test 146 Start 146: test_freedv_data_raw_ofdm_datac13_burst_file @@ -15591,19 +15649,28 @@ 146: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 146: Test timeout computed to be: 1500 146: payload bytes_per_modem_frame: 14 -146: payload bytes_per_modem_frame: 14 0 nin: 880 st: synced euw: 19 5 mf: 0 f: -0.0 pbw: 1 snr: 20.3 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 1 nin: 880 st: synced euw: 27 5 mf: 0 f: 0.0 pbw: 1 snr: 18.0 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 2 nin: 880 st: synced euw: 23 5 mf: 0 f: 0.0 pbw: 1 snr: 17.5 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 3 nin: 880 st: synced euw: 32 5 mf: 0 f: -0.0 pbw: 1 snr: 18.3 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 4 nin: 880 st: synced euw: 25 5 mf: 0 f: 0.0 pbw: 1 snr: 19.1 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 5 nin: 880 st: synced euw: 28 5 mf: 0 f: 0.0 pbw: 1 snr: 17.2 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 6 nin: 880 st: synced euw: 34 5 mf: 0 f: -0.0 pbw: 1 snr: 16.7 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 7 nin: 880 st: synced euw: 25 5 mf: 0 f: 0.0 pbw: 1 snr: 18.3 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 36 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: payload bytes_per_modem_frame: 14 0 nin: 880 st: synced euw: 27 5 mf: 0 f: -0.0 pbw: 1 snr: 16.9 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 37 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 1 nin: 880 st: synced euw: 22 5 mf: 0 f: -0.0 pbw: 1 snr: 18.4 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 38 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 2 nin: 880 st: synced euw: 18 5 mf: 0 f: -0.0 pbw: 1 snr: 17.7 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +146: 3 nin: 880 st: synced euw: 25 5 mf: 0 f: -0.0 pbw: 1 snr: 17.7 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 39 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 40 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 4 nin: 880 st: synced euw: 25 5 mf: 0 f: -0.0 pbw: 1 snr: 17.3 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 41 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 5 nin: 880 st: synced euw: 23 5 mf: 0 f: -0.0 pbw: 1 snr: 17.7 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +146: 6 nin: 880 st: synced euw: 32 5 mf: 0 f: 0.0 pbw: 1 snr: 16.3 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 42 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 7 nin: 880 st: synced euw: 25 5 mf: 0 f: 0.0 pbw: 1 snr: 18.0 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 43 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 146: mark:space: 0.90 SNR offset: -0.45 -146: 8 nin: 880 st: synced euw: 20 5 mf: 0 f: -0.0 pbw: 1 snr: 19.0 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: 9 nin: 880 st: synced euw: 23 5 mf: 0 f: -0.0 pbw: 1 snr: 16.8 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- -146: modembufs: 212 bytes: 140 Frms.: 10 SNRAv: 18.13 -144/155 Test #146: test_freedv_data_raw_ofdm_datac13_burst_file ... Passed 6.63 sec +146: 8 nin: 880 st: synced euw: 25 5 mf: 0 f: -0.0 pbw: 1 snr: 19.0 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +142: 44 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146: 9 nin: 880 st: synced euw: 23 5 mf: 0 f: -0.0 pbw: 1 snr: 17.5 eraw: 0 ecdd: 0 iter: 1 pcc: 256 rxst: -BS- +146: modembufs: 212 bytes: 140 Frms.: 10 SNRAv: 17.66 +143/155 Test #146: test_freedv_data_raw_ofdm_datac13_burst_file ... Passed 4.90 sec test 147 Start 147: test_freedv_data_raw_fsk_ldpc_100 @@ -15614,22 +15681,28 @@ 147: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 147: Test timeout computed to be: 1500 147: ch: Fs: 8000 NodB: -5.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 +142: 45 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: payload bytes_per_modem_frame: 30 Frequency: Fs: 8000.0 Hz Rs: 100 Hz Tone1: 1000 Hz Shift: 200 Hz M: 2 147: Setting estimator limits to 0 to 4000 Hz. 147: Nbits: 50 N: 4000 Ndft: 1024 147: payload bytes_per_modem_frame: 30 147: 147: 1 nbits: 24 st: 1 uwloc: 56 uwerr: 5 bad_uw: 0 snrdB: 6.4 eraw: 36 ecdd: 0 iter: 6 pcc: 256 seq: 87 rxst: -BS- +142: 46 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: 2 nbits: 42 st: 1 uwloc: 105 uwerr: 3 bad_uw: 0 snrdB: 6.7 eraw: 44 ecdd: 0 iter: 9 pcc: 256 seq: 87 rxst: -BS- 147: 3 nbits: 10 st: 1 uwloc: 154 uwerr: 1 bad_uw: 0 snrdB: 6.3 eraw: 45 ecdd: 0 iter: 11 pcc: 256 seq: 87 rxst: -BS- +142: 47 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: 4 nbits: 28 st: 1 uwloc: 205 uwerr: 4 bad_uw: 0 snrdB: 6.1 eraw: 43 ecdd: 0 iter: 8 pcc: 256 seq: 87 rxst: -BS- 147: 5 nbits: 46 st: 1 uwloc: 257 uwerr: 5 bad_uw: 0 snrdB: 7.5 eraw: 49 ecdd: 0 iter: 10 pcc: 256 seq: 87 rxst: -BS- +142: 48 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: 6 nbits: 14 st: 1 uwloc: 307 uwerr: 3 bad_uw: 0 snrdB: 7.2 eraw: 39 ecdd: 0 iter: 8 pcc: 256 seq: 87 rxst: -BS- 147: 7 nbits: 32 st: 1 uwloc: 358 uwerr: 3 bad_uw: 0 snrdB: 7.7 eraw: 37 ecdd: 0 iter: 9 pcc: 256 seq: 87 rxst: -BS- +142: 49 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: 8 nbits: 0 st: 1 uwloc: 407 uwerr: 1 bad_uw: 0 snrdB: 7.4 eraw: 45 ecdd: 0 iter: 15 pcc: 254 seq: 87 rxst: -BS- 147: 9 nbits: 18 st: 1 uwloc: 458 uwerr: 4 bad_uw: 0 snrdB: 7.5 eraw: 44 ecdd: 0 iter: 7 pcc: 256 seq: 87 rxst: -BS- 147: 10 nbits: 36 st: 1 uwloc: 508 uwerr: 1 bad_uw: 0 snrdB: 7.8 eraw: 39 ecdd: 0 iter: 6 pcc: 256 seq: 87 rxst: -BS- 147: mark:space: 0.33 SNR offset: -4.79 +142: 50 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 11.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 147: ch: SNR3k(dB): -10.27 C/No....: 24.50 147: ch: peak.....: 17361.57 RMS.....: 9435.63 CPAPR.....: 5.30 147: ch: Nsamples.: 1432640 clipped.: 0.00% OutClipped: 36.50% @@ -15638,7 +15711,7 @@ 147: BER......: 0.0774 Tbits: 5440 Terrs: 421 147: Coded BER: 0.0000 Tbits: 2560 Terrs: 0 147: Coded FER: 0.0000 Tfrms: 10 Tfers: 0 -145/155 Test #147: test_freedv_data_raw_fsk_ldpc_100 .............. Passed 3.60 sec +144/155 Test #147: test_freedv_data_raw_fsk_ldpc_100 .............. Passed 1.85 sec test 148 Start 148: test_freedv_data_raw_fsk_ldpc_1k @@ -15648,21 +15721,23 @@ ./freedv_data_raw_rx --testframes -v --Fs 40000 --Rs 1000 FSK_LDPC - /dev/null" 148: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 148: Test timeout computed to be: 1500 -148: payload bytes_per_modem_frame: 30 Frequency: Fs: 40000.0 Hz Rs: 1000 Hz Tone1: 1000 Hz Shift: 1000 Hz M: 2 -148: ch: Fs: 8000 NodB: -10.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 148: Setting estimator limits to 0 to 20000 Hz. 148: Nbits: 50 N: 2000 Ndft: 512 148: payload bytes_per_modem_frame: 30 +148: ch: Fs: 8000 NodB: -10.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 +148: payload bytes_per_modem_frame: 30 Frequency: Fs: 40000.0 Hz Rs: 1000 Hz Tone1: 1000 Hz Shift: 1000 Hz M: 2 148: 148: 1 nbits: 24 st: 1 uwloc: 54 uwerr: 1 bad_uw: 0 snrdB: 9.5 eraw: 15 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 148: 2 nbits: 42 st: 1 uwloc: 104 uwerr: 0 bad_uw: 0 snrdB: 10.5 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 148: 3 nbits: 10 st: 1 uwloc: 153 uwerr: 1 bad_uw: 0 snrdB: 8.2 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 148: 4 nbits: 28 st: 1 uwloc: 204 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 16 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 148: 5 nbits: 46 st: 1 uwloc: 254 uwerr: 1 bad_uw: 0 snrdB: 9.0 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 51 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 148: 6 nbits: 14 st: 1 uwloc: 305 uwerr: 1 bad_uw: 0 snrdB: 8.8 eraw: 11 ecdd: 0 iter: 15 pcc: 252 seq: 87 rxst: -BS- 148: 7 nbits: 32 st: 1 uwloc: 354 uwerr: 0 bad_uw: 0 snrdB: 10.8 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 148: 8 nbits: 0 st: 1 uwloc: 406 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 3 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 148: 9 nbits: 18 st: 1 uwloc: 456 uwerr: 2 bad_uw: 0 snrdB: 9.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 52 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 148: 10 nbits: 36 st: 1 uwloc: 508 uwerr: 0 bad_uw: 0 snrdB: 9.7 eraw: 10 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 148: mark:space: 0.33 SNR offset: -4.79 148: ch: SNR3k(dB): -5.30 C/No....: 29.48 @@ -15673,7 +15748,7 @@ 148: BER......: 0.0186 Tbits: 5440 Terrs: 101 148: Coded BER: 0.0000 Tbits: 2560 Terrs: 0 148: Coded FER: 0.0000 Tfrms: 10 Tfers: 0 -146/155 Test #148: test_freedv_data_raw_fsk_ldpc_1k ............... Passed 1.93 sec +145/155 Test #148: test_freedv_data_raw_fsk_ldpc_1k ............... Passed 1.10 sec test 149 Start 149: test_freedv_data_raw_fsk_ldpc_10k @@ -15683,13 +15758,14 @@ ./freedv_data_raw_rx --testframes -v --Fs 100000 --Rs 10000 FSK_LDPC - /dev/null" 149: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 149: Test timeout computed to be: 1500 -149: Setting estimator limits to 0 to 50000 Hz. 149: payload bytes_per_modem_frame: 30 Frequency: Fs: 100000.0 Hz Rs: 10000 Hz Tone1: 10000 Hz Shift: 10000 Hz M: 2 149: 149: ch: Fs: 8000 NodB: -16.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 +149: Setting estimator limits to 0 to 50000 Hz. 149: Nbits: 50 N: 500 Ndft: 128 149: payload bytes_per_modem_frame: 30 149: 1 nbits: 24 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 3 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 53 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 2 nbits: 30 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 3 nbits: 36 st: 1 uwloc: 64 uwerr: 1 bad_uw: 0 snrdB: 8.1 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 4 nbits: 42 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 18 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -15740,6 +15816,7 @@ 149: 49 nbits: 12 st: 1 uwloc: 64 uwerr: 1 bad_uw: 0 snrdB: 9.9 eraw: 17 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 50 nbits: 18 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 11 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 51 nbits: 24 st: 1 uwloc: 64 uwerr: 1 bad_uw: 0 snrdB: 9.0 eraw: 6 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 54 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 52 nbits: 30 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 10 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 53 nbits: 36 st: 1 uwloc: 64 uwerr: 2 bad_uw: 0 snrdB: 9.3 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 54 nbits: 42 st: 1 uwloc: 64 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 12 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -15826,6 +15903,7 @@ 149: 135 nbits: 40 st: 1 uwloc: 113 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 11 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- 149: 136 nbits: 46 st: 1 uwloc: 113 uwerr: 2 bad_uw: 0 snrdB: 8.7 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 137 nbits: 2 st: 1 uwloc: 113 uwerr: 2 bad_uw: 0 snrdB: 8.7 eraw: 6 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 55 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 138 nbits: 8 st: 1 uwloc: 113 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 10 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 139 nbits: 14 st: 1 uwloc: 113 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 140 nbits: 20 st: 1 uwloc: 113 uwerr: 0 bad_uw: 0 snrdB: 9.0 eraw: 12 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -15908,6 +15986,7 @@ 149: 217 nbits: 44 st: 1 uwloc: 162 uwerr: 1 bad_uw: 0 snrdB: 8.6 eraw: 16 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 218 nbits: 0 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 9.2 eraw: 7 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 219 nbits: 6 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 9 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- +142: 56 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 220 nbits: 12 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 7 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 221 nbits: 18 st: 1 uwloc: 162 uwerr: 1 bad_uw: 0 snrdB: 10.9 eraw: 10 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 222 nbits: 24 st: 1 uwloc: 162 uwerr: 2 bad_uw: 0 snrdB: 9.6 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -15954,6 +16033,7 @@ 149: 263 nbits: 20 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 7 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 264 nbits: 26 st: 1 uwloc: 162 uwerr: 1 bad_uw: 0 snrdB: 8.6 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 265 nbits: 32 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 10.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 57 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 266 nbits: 38 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 267 nbits: 44 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 9.4 eraw: 14 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 268 nbits: 0 st: 1 uwloc: 162 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16008,6 +16088,7 @@ 149: 317 nbits: 6 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 318 nbits: 12 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 10.1 eraw: 3 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 319 nbits: 18 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 9.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 58 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 320 nbits: 24 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 9.3 eraw: 10 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 321 nbits: 30 st: 1 uwloc: 212 uwerr: 1 bad_uw: 0 snrdB: 9.2 eraw: 15 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 322 nbits: 36 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 8.1 eraw: 10 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -16042,6 +16123,7 @@ 149: 351 nbits: 10 st: 1 uwloc: 212 uwerr: 1 bad_uw: 0 snrdB: 9.7 eraw: 13 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 352 nbits: 16 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 8.6 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 353 nbits: 22 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 59 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 354 nbits: 28 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 10.0 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 355 nbits: 34 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 12 ecdd: 0 iter: 15 pcc: 255 seq: 87 rxst: -BS- 149: 356 nbits: 40 st: 1 uwloc: 212 uwerr: 1 bad_uw: 0 snrdB: 9.1 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16089,6 +16171,7 @@ 149: 398 nbits: 42 st: 1 uwloc: 212 uwerr: 0 bad_uw: 0 snrdB: 9.8 eraw: 9 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 399 nbits: 48 st: 1 uwloc: 212 uwerr: 1 bad_uw: 0 snrdB: 8.1 eraw: 12 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 400 nbits: 4 st: 1 uwloc: 212 uwerr: 1 bad_uw: 0 snrdB: 8.6 eraw: 5 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 60 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 401 nbits: 22 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 12 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 402 nbits: 28 st: 1 uwloc: 261 uwerr: 1 bad_uw: 0 snrdB: 9.2 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 403 nbits: 34 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 9.5 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16157,6 +16240,7 @@ 149: 466 nbits: 12 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 9.3 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 467 nbits: 18 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 9.5 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 468 nbits: 24 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 9.4 eraw: 5 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 61 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 469 nbits: 30 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 470 nbits: 36 st: 1 uwloc: 261 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 15 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 471 nbits: 42 st: 1 uwloc: 261 uwerr: 1 bad_uw: 0 snrdB: 8.8 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16224,6 +16308,7 @@ 149: 533 nbits: 26 st: 1 uwloc: 310 uwerr: 1 bad_uw: 0 snrdB: 8.2 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 534 nbits: 32 st: 1 uwloc: 310 uwerr: 1 bad_uw: 0 snrdB: 8.6 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 535 nbits: 38 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 9.0 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 62 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 536 nbits: 44 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 8 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 537 nbits: 0 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 7.3 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 538 nbits: 6 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 9.9 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16285,6 +16370,7 @@ 149: 594 nbits: 42 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 9.8 eraw: 4 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 595 nbits: 48 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 7 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 596 nbits: 4 st: 1 uwloc: 310 uwerr: 1 bad_uw: 0 snrdB: 9.6 eraw: 10 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 63 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 597 nbits: 10 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 7.6 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 598 nbits: 16 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 599 nbits: 22 st: 1 uwloc: 310 uwerr: 0 bad_uw: 0 snrdB: 10.0 eraw: 10 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -16320,6 +16406,7 @@ 149: 629 nbits: 14 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 9 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 630 nbits: 20 st: 1 uwloc: 360 uwerr: 2 bad_uw: 0 snrdB: 9.2 eraw: 12 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 631 nbits: 26 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 12 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 64 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 632 nbits: 32 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.3 eraw: 9 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 633 nbits: 38 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 7 ecdd: 0 iter: 15 pcc: 254 seq: 87 rxst: -BS- 149: 634 nbits: 44 st: 1 uwloc: 360 uwerr: 1 bad_uw: 0 snrdB: 8.9 eraw: 11 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- @@ -16352,6 +16439,7 @@ 149: 661 nbits: 6 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 8.0 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 662 nbits: 12 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 7.7 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 663 nbits: 18 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 11 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- +142: 65 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 664 nbits: 24 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.5 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 665 nbits: 30 st: 1 uwloc: 360 uwerr: 1 bad_uw: 0 snrdB: 9.2 eraw: 9 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 666 nbits: 36 st: 1 uwloc: 360 uwerr: 1 bad_uw: 0 snrdB: 10.2 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16388,6 +16476,7 @@ 149: 697 nbits: 22 st: 1 uwloc: 360 uwerr: 2 bad_uw: 0 snrdB: 8.8 eraw: 9 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 698 nbits: 28 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 9.9 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 699 nbits: 34 st: 1 uwloc: 360 uwerr: 0 bad_uw: 0 snrdB: 8.4 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 66 nin: 880 st: synced euw: 8 3 mf: 0 f: 0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 700 nbits: 40 st: 1 uwloc: 360 uwerr: 1 bad_uw: 0 snrdB: 9.9 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 701 nbits: 8 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 10.3 eraw: 2 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 702 nbits: 14 st: 1 uwloc: 409 uwerr: 1 bad_uw: 0 snrdB: 9.5 eraw: 12 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16430,6 +16519,7 @@ 149: 739 nbits: 36 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 740 nbits: 42 st: 1 uwloc: 409 uwerr: 1 bad_uw: 0 snrdB: 9.7 eraw: 10 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 741 nbits: 48 st: 1 uwloc: 409 uwerr: 1 bad_uw: 0 snrdB: 10.3 eraw: 13 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 67 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 742 nbits: 4 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 8.3 eraw: 2 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 743 nbits: 10 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 7.9 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 744 nbits: 16 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16475,6 +16565,7 @@ 149: 784 nbits: 6 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 785 nbits: 12 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 9.2 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 786 nbits: 18 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 9.8 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 68 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 787 nbits: 24 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 5 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 788 nbits: 30 st: 1 uwloc: 409 uwerr: 0 bad_uw: 0 snrdB: 9.6 eraw: 6 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 789 nbits: 36 st: 1 uwloc: 409 uwerr: 1 bad_uw: 0 snrdB: 10.1 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16504,6 +16595,7 @@ 149: 813 nbits: 42 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.6 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 814 nbits: 48 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 8.7 eraw: 13 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 815 nbits: 4 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 69 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 816 nbits: 10 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 817 nbits: 16 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 8 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 818 nbits: 22 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 12 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- @@ -16533,6 +16625,7 @@ 149: 842 nbits: 16 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.2 eraw: 14 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 843 nbits: 22 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 4 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 844 nbits: 28 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.4 eraw: 5 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 70 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 845 nbits: 34 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.4 eraw: 6 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 846 nbits: 40 st: 1 uwloc: 460 uwerr: 2 bad_uw: 0 snrdB: 9.6 eraw: 14 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 847 nbits: 46 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.9 eraw: 6 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -16558,6 +16651,7 @@ 149: 867 nbits: 16 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 9.1 eraw: 13 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 868 nbits: 22 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 8.1 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 869 nbits: 28 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.8 eraw: 3 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- +142: 71 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 870 nbits: 34 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.5 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 871 nbits: 40 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 9.9 eraw: 4 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 872 nbits: 46 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 8.7 eraw: 7 ecdd: 0 iter: 15 pcc: 255 seq: 87 rxst: -BS- @@ -16585,6 +16679,7 @@ 149: 894 nbits: 28 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 12 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 895 nbits: 34 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 9.3 eraw: 9 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 896 nbits: 40 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 10.0 eraw: 16 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- +142: 72 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 897 nbits: 46 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 7.5 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 898 nbits: 2 st: 1 uwloc: 460 uwerr: 1 bad_uw: 0 snrdB: 9.4 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 899 nbits: 8 st: 1 uwloc: 460 uwerr: 0 bad_uw: 0 snrdB: 8.6 eraw: 11 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16611,6 +16706,7 @@ 149: 920 nbits: 46 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.8 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 921 nbits: 2 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.9 eraw: 2 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 922 nbits: 8 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 73 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 923 nbits: 14 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 16 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 924 nbits: 20 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.5 eraw: 4 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 925 nbits: 26 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 9.5 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16646,6 +16742,7 @@ 149: 955 nbits: 6 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 4 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 956 nbits: 12 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.4 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 957 nbits: 18 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 74 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 958 nbits: 24 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.2 eraw: 12 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 959 nbits: 30 st: 1 uwloc: 510 uwerr: 1 bad_uw: 0 snrdB: 7.5 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 960 nbits: 36 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 9.9 eraw: 8 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16673,6 +16770,7 @@ 149: 982 nbits: 18 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.6 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 983 nbits: 24 st: 1 uwloc: 510 uwerr: 2 bad_uw: 0 snrdB: 9.7 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 984 nbits: 30 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 9.1 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- +142: 75 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 149: 985 nbits: 36 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 11 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- 149: 986 nbits: 42 st: 1 uwloc: 510 uwerr: 1 bad_uw: 0 snrdB: 11.4 eraw: 3 ecdd: 0 iter: 2 pcc: 256 seq: 87 rxst: -BS- 149: 987 nbits: 48 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.0 eraw: 6 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- @@ -16691,14 +16789,14 @@ 149: 999 nbits: 20 st: 1 uwloc: 510 uwerr: 0 bad_uw: 0 snrdB: 8.3 eraw: 9 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: 1000 nbits: 26 st: 1 uwloc: 510 uwerr: 2 bad_uw: 0 snrdB: 10.2 eraw: 14 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 149: ch: SNR3k(dB): 5.46 C/No....: 40.23 +149: ch: peak.....: 17764.38 RMS.....: 16245.32 CPAPR.....: 0.78 +149: ch: Nsamples.: 5564640 clipped.: 0.00% OutClipped: 2.13% +149: ch: WARNING output clipping 149: modembufs: 11129 bytes: 30000 Frms.: 1000 SNRAv: 0.00 149: BER......: 0.0157 Tbits: 544000 Terrs: 8526 149: Coded BER: 0.0000 Tbits: 256000 Terrs: 0 149: Coded FER: 0.0000 Tfrms: 1000 Tfers: 0 -149: ch: peak.....: 17764.38 RMS.....: 16245.32 CPAPR.....: 0.78 -149: ch: Nsamples.: 5564640 clipped.: 0.00% OutClipped: 2.13% -149: ch: WARNING output clipping -147/155 Test #149: test_freedv_data_raw_fsk_ldpc_10k .............. Passed 21.58 sec +146/155 Test #149: test_freedv_data_raw_fsk_ldpc_10k .............. Passed 10.18 sec test 150 Start 150: test_freedv_data_raw_fsk_ldpc_2k @@ -16708,13 +16806,14 @@ ./freedv_data_raw_rx -m 4 --testframes -v --Fs 40000 --Rs 1000 FSK_LDPC --mask 2000 - /dev/null" 150: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 150: Test timeout computed to be: 1500 -150: ch: Fs: 8000 NodB: -22.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 150: payload bytes_per_modem_frame: 30 Frequency: Fs: 40000.0 Hz Rs: 1000 Hz Tone1: 10000 Hz Shift: 2000 Hz M: 4 150: 150: Setting estimator limits to 0 to 20000 Hz. 150: Nbits: 100 N: 2000 Ndft: 512 150: payload bytes_per_modem_frame: 30 +150: ch: Fs: 8000 NodB: -22.00 foff: 0.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 0 complexout: 0 150: 1 nbits: 24 st: 1 uwloc: 208 uwerr: 1 bad_uw: 0 snrdB: 8.7 eraw: 13 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- +142: 76 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 150: 2 nbits: 92 st: 1 uwloc: 408 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 12 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- 150: 3 nbits: 16 st: 1 uwloc: 64 uwerr: 1 bad_uw: 0 snrdB: 8.6 eraw: 19 ecdd: 0 iter: 15 pcc: 252 seq: 87 rxst: -BS- 150: 4 nbits: 84 st: 1 uwloc: 262 uwerr: 1 bad_uw: 0 snrdB: 8.5 eraw: 15 ecdd: 0 iter: 4 pcc: 256 seq: 87 rxst: -BS- @@ -16724,6 +16823,7 @@ 150: 8 nbits: 12 st: 1 uwloc: 520 uwerr: 4 bad_uw: 0 snrdB: 8.9 eraw: 7 ecdd: 0 iter: 3 pcc: 256 seq: 87 rxst: -BS- 150: 9 nbits: 36 st: 1 uwloc: 176 uwerr: 0 bad_uw: 0 snrdB: 8.3 eraw: 22 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- 150: mark:space: 0.38 SNR offset: -4.16 +142: 77 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 150: 10 nbits: 4 st: 1 uwloc: 376 uwerr: 0 bad_uw: 0 snrdB: 8.7 eraw: 26 ecdd: 0 iter: 5 pcc: 256 seq: 87 rxst: -BS- 150: ch: SNR3k(dB): -4.69 C/No....: 30.09 150: ch: peak.....: 4648.84 RMS.....: 2535.60 CPAPR.....: 5.27 @@ -16732,7 +16832,7 @@ 150: BER......: 0.0292 Tbits: 5440 Terrs: 159 150: Coded BER: 0.0000 Tbits: 2560 Terrs: 0 150: Coded FER: 0.0000 Tfrms: 10 Tfers: 0 -148/155 Test #150: test_freedv_data_raw_fsk_ldpc_2k ............... Passed 1.46 sec +147/155 Test #150: test_freedv_data_raw_fsk_ldpc_2k ............... Passed 0.78 sec test 151 Start 151: test_demo_c2demo @@ -16741,8 +16841,8 @@ ls -l hts1a_out.raw" 151: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 151: Test timeout computed to be: 1500 -151: -rw-r--r-- 1 pbuilder1 pbuilder1 48000 May 7 18:52 hts1a_out.raw -149/155 Test #151: test_demo_c2demo ............................... Passed 0.21 sec +151: -rw-r--r-- 1 pbuilder2 pbuilder2 48000 May 7 19:10 hts1a_out.raw +148/155 Test #151: test_demo_c2demo ............................... Passed 0.12 sec test 152 Start 152: test_demo_700d @@ -16752,8 +16852,9 @@ ls -l ve9qrp_10s_700d.raw" 152: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 152: Test timeout computed to be: 1500 -152: -rw-r--r-- 1 pbuilder1 pbuilder1 158720 May 7 18:52 ve9qrp_10s_700d.raw -150/155 Test #152: test_demo_700d ................................. Passed 0.73 sec +142: 78 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +152: -rw-r--r-- 1 pbuilder2 pbuilder2 158720 May 7 19:10 ve9qrp_10s_700d.raw +149/155 Test #152: test_demo_700d ................................. Passed 0.36 sec test 153 Start 153: test_demo_700d_python @@ -16764,11 +16865,11 @@ 153: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 153: Test timeout computed to be: 1500 153: /usr/bin/env: 'python3': No such file or directory -153: -rw-r--r-- 1 pbuilder1 pbuilder1 0 May 7 18:52 ve9qrp_10s_700d.raw -151/155 Test #153: test_demo_700d_python ..........................***Failed Required regular expression not found. Regex=[161280 -] 0.05 sec +153: -rw-r--r-- 1 pbuilder2 pbuilder2 0 May 7 19:10 ve9qrp_10s_700d.raw +150/155 Test #153: test_demo_700d_python ..........................***Failed Required regular expression not found. Regex=[161280 +] 0.11 sec /usr/bin/env: 'python3': No such file or directory --rw-r--r-- 1 pbuilder1 pbuilder1 0 May 7 18:52 ve9qrp_10s_700d.raw +-rw-r--r-- 1 pbuilder2 pbuilder2 0 May 7 19:10 ve9qrp_10s_700d.raw test 154 Start 154: test_demo_datac1 @@ -16780,417 +16881,427 @@ diff binaryIn.bin binaryOut.bin" 154: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 154: Test timeout computed to be: 1500 +142: 79 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- 154: 0 nin: 880 st: search euw: 8 0 mf: 0 f: 34.0 pbw: 1 rxst: ---- 154: 1 nin: 1280 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 2 nin: 880 st: trial euw: 8 1 mf: 0 f: 0.0 pbw: 1 rxst: --ST +154: 2 nin: 880 st: trial euw: 7 1 mf: 0 f: 0.0 pbw: 1 rxst: --ST 154: 3 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST -154: 4 nin: 880 st: synced euw: 6 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 5 nin: 880 st: synced euw: 9 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- -154: 6 nin: 880 st: synced euw: 4 2 mf: 5 f: 0.0 pbw: 1 rxst: --S- -154: 7 nin: 880 st: synced euw: 8 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- -154: 8 nin: 880 st: synced euw: 8 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 9 nin: 880 st: synced euw: 5 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- -154: 10 nin: 880 st: synced euw: 9 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- -154: 11 nin: 880 st: synced euw: 9 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 12 nin: 880 st: synced euw: 9 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- -154: 13 nin: 880 st: synced euw: 10 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- +154: 4 nin: 880 st: synced euw: 10 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 5 nin: 880 st: synced euw: 6 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 6 nin: 880 st: synced euw: 12 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 7 nin: 880 st: synced euw: 9 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- +154: 8 nin: 880 st: synced euw: 10 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- +154: 9 nin: 880 st: synced euw: 7 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- +154: 10 nin: 880 st: synced euw: 10 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- +154: 11 nin: 880 st: synced euw: 9 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- +154: 12 nin: 880 st: synced euw: 10 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- +154: 13 nin: 880 st: synced euw: 6 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- 154: 14 nin: 880 st: synced euw: 8 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 15 nin: 880 st: synced euw: 8 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- -154: 16 nin: 880 st: synced euw: 9 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 17 nin: 880 st: synced euw: 5 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 18 nin: 880 st: synced euw: 10 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- -154: 19 nin: 880 st: synced euw: 7 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 20 nin: 880 st: synced euw: 9 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- -154: 21 nin: 880 st: synced euw: 10 2 mf: 20 f: 0.0 pbw: 1 rxst: --S- -154: 22 nin: 880 st: synced euw: 8 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- -154: 23 nin: 880 st: synced euw: 7 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- -154: 24 nin: 880 st: synced euw: 5 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 25 nin: 880 st: synced euw: 8 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 26 nin: 880 st: synced euw: 4 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- -154: 27 nin: 880 st: synced euw: 3 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- -154: 28 nin: 880 st: synced euw: 8 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- -154: 29 nin: 880 st: synced euw: 7 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- -154: 30 nin: 880 st: synced euw: 6 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- -154: 31 nin: 880 st: synced euw: 6 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- -154: 32 nin: 880 st: synced euw: 7 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- -154: 33 nin: 880 st: synced euw: 5 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- -154: 34 nin: 880 st: synced euw: 7 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- -154: 35 nin: 880 st: synced euw: 10 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- -154: 36 nin: 880 st: synced euw: 8 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- -154: 37 nin: 880 st: synced euw: 6 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- -154: 38 nin: 880 st: synced euw: 10 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 39 nin: 880 st: synced euw: 10 2 mf: 0 f: -0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 40 nin: 880 st: search euw: 10 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 41 nin: 880 st: search euw: 10 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 42 nin: 1600 st: search euw: 10 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 43 nin: 880 st: trial euw: 8 1 mf: 0 f: 0.0 pbw: 1 rxst: --ST -154: 44 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 45 nin: 880 st: synced euw: 9 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- -154: 46 nin: 880 st: synced euw: 9 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- -154: 47 nin: 880 st: synced euw: 6 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 15 nin: 880 st: synced euw: 7 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 16 nin: 880 st: synced euw: 6 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 17 nin: 880 st: synced euw: 8 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- +154: 18 nin: 880 st: synced euw: 5 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- +154: 19 nin: 880 st: synced euw: 10 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 20 nin: 880 st: synced euw: 6 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 21 nin: 880 st: synced euw: 8 2 mf: 20 f: 0.0 pbw: 1 rxst: --S- +154: 22 nin: 880 st: synced euw: 7 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- +154: 23 nin: 880 st: synced euw: 7 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 24 nin: 880 st: synced euw: 6 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- +154: 25 nin: 880 st: synced euw: 9 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- +154: 26 nin: 880 st: synced euw: 8 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- +154: 27 nin: 880 st: synced euw: 6 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 28 nin: 880 st: synced euw: 9 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- +154: 29 nin: 880 st: synced euw: 9 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- +154: 30 nin: 880 st: synced euw: 13 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 31 nin: 880 st: synced euw: 9 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- +154: 32 nin: 880 st: synced euw: 5 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- +154: 33 nin: 880 st: synced euw: 11 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 34 nin: 880 st: synced euw: 7 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 35 nin: 880 st: synced euw: 9 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- +154: 36 nin: 880 st: synced euw: 9 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 37 nin: 880 st: synced euw: 4 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 38 nin: 880 st: synced euw: 7 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- +154: 39 nin: 880 st: synced euw: 11 2 mf: 0 f: -0.0 pbw: 1 snr: 13.3 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 80 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 40 nin: 880 st: search euw: 11 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 41 nin: 880 st: search euw: 11 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 42 nin: 1600 st: search euw: 11 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 43 nin: 880 st: trial euw: 11 1 mf: 0 f: 0.0 pbw: 1 rxst: --ST +154: 44 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST +154: 45 nin: 880 st: synced euw: 8 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 46 nin: 880 st: synced euw: 5 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 47 nin: 880 st: synced euw: 7 2 mf: 5 f: 0.0 pbw: 1 rxst: --S- 154: 48 nin: 880 st: synced euw: 8 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- -154: 49 nin: 880 st: synced euw: 8 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 50 nin: 880 st: synced euw: 6 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- -154: 51 nin: 880 st: synced euw: 8 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- -154: 52 nin: 880 st: synced euw: 11 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 53 nin: 880 st: synced euw: 8 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- +154: 49 nin: 880 st: synced euw: 6 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- +154: 50 nin: 880 st: synced euw: 7 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- +154: 51 nin: 880 st: synced euw: 4 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- +154: 52 nin: 880 st: synced euw: 9 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- +154: 53 nin: 880 st: synced euw: 9 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- 154: 54 nin: 880 st: synced euw: 7 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- 154: 55 nin: 880 st: synced euw: 8 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 56 nin: 880 st: synced euw: 6 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- -154: 57 nin: 880 st: synced euw: 10 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 58 nin: 880 st: synced euw: 10 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 59 nin: 880 st: synced euw: 10 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 60 nin: 880 st: synced euw: 8 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 61 nin: 880 st: synced euw: 9 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- -154: 62 nin: 880 st: synced euw: 9 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 63 nin: 880 st: synced euw: 9 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- -154: 64 nin: 880 st: synced euw: 7 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- -154: 65 nin: 880 st: synced euw: 11 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 66 nin: 880 st: synced euw: 8 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- -154: 67 nin: 880 st: synced euw: 6 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- -154: 68 nin: 880 st: synced euw: 9 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 69 nin: 880 st: synced euw: 6 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- -154: 70 nin: 880 st: synced euw: 10 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- -154: 71 nin: 880 st: synced euw: 10 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- +154: 56 nin: 880 st: synced euw: 12 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- +154: 57 nin: 880 st: synced euw: 8 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- +154: 58 nin: 880 st: synced euw: 5 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- +154: 59 nin: 880 st: synced euw: 9 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- +154: 60 nin: 880 st: synced euw: 9 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- +154: 61 nin: 880 st: synced euw: 8 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- +154: 62 nin: 880 st: synced euw: 4 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- +154: 63 nin: 880 st: synced euw: 8 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- +154: 64 nin: 880 st: synced euw: 5 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- +154: 65 nin: 880 st: synced euw: 6 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 66 nin: 880 st: synced euw: 7 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- +154: 67 nin: 880 st: synced euw: 10 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- +154: 68 nin: 880 st: synced euw: 4 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 69 nin: 880 st: synced euw: 13 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- +154: 70 nin: 880 st: synced euw: 8 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- +154: 71 nin: 880 st: synced euw: 4 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- 154: 72 nin: 880 st: synced euw: 6 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- -154: 73 nin: 880 st: synced euw: 7 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- -154: 74 nin: 880 st: synced euw: 9 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 73 nin: 880 st: synced euw: 8 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- +154: 74 nin: 880 st: synced euw: 7 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- 154: 75 nin: 880 st: synced euw: 6 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- -154: 76 nin: 880 st: synced euw: 8 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- -154: 77 nin: 880 st: synced euw: 10 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- +154: 76 nin: 880 st: synced euw: 7 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- +154: 77 nin: 880 st: synced euw: 7 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- 154: 78 nin: 880 st: synced euw: 8 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- -154: 79 nin: 880 st: synced euw: 12 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 80 nin: 880 st: synced euw: 5 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 81 nin: 880 st: search euw: 5 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 82 nin: 880 st: search euw: 5 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 83 nin: 1600 st: search euw: 5 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 84 nin: 880 st: trial euw: 8 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 79 nin: 880 st: synced euw: 7 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- +154: 80 nin: 880 st: synced euw: 11 2 mf: 0 f: 0.0 pbw: 1 snr: 12.5 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 81 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 81 nin: 880 st: search euw: 11 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 82 nin: 880 st: search euw: 11 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 83 nin: 1600 st: search euw: 11 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 84 nin: 880 st: trial euw: 11 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 154: 85 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 86 nin: 880 st: synced euw: 11 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 87 nin: 880 st: synced euw: 5 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 86 nin: 880 st: synced euw: 5 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 87 nin: 880 st: synced euw: 8 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- 154: 88 nin: 880 st: synced euw: 8 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- 154: 89 nin: 880 st: synced euw: 9 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 90 nin: 880 st: synced euw: 10 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- -154: 91 nin: 880 st: synced euw: 9 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- -154: 92 nin: 880 st: synced euw: 9 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- -154: 93 nin: 880 st: synced euw: 8 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 94 nin: 880 st: synced euw: 8 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- -154: 95 nin: 880 st: synced euw: 5 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- -154: 96 nin: 880 st: synced euw: 10 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- -154: 97 nin: 880 st: synced euw: 9 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- -154: 98 nin: 880 st: synced euw: 8 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 90 nin: 880 st: synced euw: 10 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- +154: 91 nin: 880 st: synced euw: 7 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- +154: 92 nin: 880 st: synced euw: 9 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- +154: 93 nin: 880 st: synced euw: 9 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- +154: 94 nin: 880 st: synced euw: 13 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- +154: 95 nin: 880 st: synced euw: 9 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- +154: 96 nin: 880 st: synced euw: 7 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- +154: 97 nin: 880 st: synced euw: 8 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 98 nin: 880 st: synced euw: 7 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- 154: 99 nin: 880 st: synced euw: 6 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 100 nin: 880 st: synced euw: 5 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- -154: 101 nin: 880 st: synced euw: 7 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 102 nin: 880 st: synced euw: 11 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 100 nin: 880 st: synced euw: 10 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- +154: 101 nin: 880 st: synced euw: 8 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 102 nin: 880 st: synced euw: 8 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- 154: 103 nin: 880 st: synced euw: 9 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 104 nin: 880 st: synced euw: 8 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- -154: 105 nin: 880 st: synced euw: 10 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- +154: 104 nin: 880 st: synced euw: 7 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- +154: 105 nin: 880 st: synced euw: 9 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- 154: 106 nin: 880 st: synced euw: 6 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 107 nin: 880 st: synced euw: 10 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- -154: 108 nin: 880 st: synced euw: 7 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- -154: 109 nin: 880 st: synced euw: 15 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 110 nin: 880 st: synced euw: 9 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- -154: 111 nin: 880 st: synced euw: 6 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- -154: 112 nin: 880 st: synced euw: 6 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- -154: 113 nin: 880 st: synced euw: 10 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- -154: 114 nin: 880 st: synced euw: 6 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- -154: 115 nin: 880 st: synced euw: 9 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- -154: 116 nin: 880 st: synced euw: 7 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- +154: 107 nin: 880 st: synced euw: 6 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- +154: 108 nin: 880 st: synced euw: 12 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 109 nin: 880 st: synced euw: 7 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- +154: 110 nin: 880 st: synced euw: 8 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- +154: 111 nin: 880 st: synced euw: 10 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- +154: 112 nin: 880 st: synced euw: 11 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 113 nin: 880 st: synced euw: 8 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- +154: 114 nin: 880 st: synced euw: 11 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 115 nin: 880 st: synced euw: 11 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 116 nin: 880 st: synced euw: 12 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- 154: 117 nin: 880 st: synced euw: 10 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- -154: 118 nin: 880 st: synced euw: 8 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- -154: 119 nin: 880 st: synced euw: 7 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- -154: 120 nin: 880 st: synced euw: 11 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 121 nin: 880 st: synced euw: 6 2 mf: 0 f: -0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 122 nin: 880 st: search euw: 6 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 123 nin: 880 st: search euw: 6 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 124 nin: 1600 st: search euw: 6 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 125 nin: 880 st: trial euw: 9 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST -154: 126 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST -154: 127 nin: 880 st: synced euw: 6 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 128 nin: 880 st: synced euw: 9 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- +154: 118 nin: 880 st: synced euw: 9 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 119 nin: 880 st: synced euw: 5 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- +154: 120 nin: 880 st: synced euw: 7 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- +154: 121 nin: 880 st: synced euw: 5 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 82 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 122 nin: 880 st: search euw: 5 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 123 nin: 880 st: search euw: 5 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 124 nin: 1600 st: search euw: 5 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 125 nin: 880 st: trial euw: 10 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 126 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST +154: 127 nin: 880 st: synced euw: 10 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- +154: 128 nin: 880 st: synced euw: 9 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- 154: 129 nin: 880 st: synced euw: 9 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- -154: 130 nin: 880 st: synced euw: 8 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 131 nin: 880 st: synced euw: 11 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- +154: 130 nin: 880 st: synced euw: 4 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- +154: 131 nin: 880 st: synced euw: 11 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- 154: 132 nin: 880 st: synced euw: 9 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- -154: 133 nin: 880 st: synced euw: 6 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- -154: 134 nin: 880 st: synced euw: 7 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- -154: 135 nin: 880 st: synced euw: 8 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- -154: 136 nin: 880 st: synced euw: 6 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- -154: 137 nin: 880 st: synced euw: 9 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- -154: 138 nin: 880 st: synced euw: 5 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- -154: 139 nin: 880 st: synced euw: 8 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 140 nin: 880 st: synced euw: 5 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- +154: 133 nin: 880 st: synced euw: 11 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- +154: 134 nin: 880 st: synced euw: 12 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- +154: 135 nin: 880 st: synced euw: 10 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- +154: 136 nin: 880 st: synced euw: 9 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- +154: 137 nin: 880 st: synced euw: 10 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- +154: 138 nin: 880 st: synced euw: 9 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 139 nin: 880 st: synced euw: 7 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 140 nin: 880 st: synced euw: 9 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- 154: 141 nin: 880 st: synced euw: 7 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 142 nin: 880 st: synced euw: 6 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 143 nin: 880 st: synced euw: 7 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- -154: 144 nin: 880 st: synced euw: 9 2 mf: 20 f: 0.0 pbw: 1 rxst: --S- -154: 145 nin: 880 st: synced euw: 4 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- -154: 146 nin: 880 st: synced euw: 8 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- -154: 147 nin: 880 st: synced euw: 10 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- -154: 148 nin: 880 st: synced euw: 7 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 149 nin: 880 st: synced euw: 5 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- -154: 150 nin: 880 st: synced euw: 8 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 151 nin: 880 st: synced euw: 11 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- -154: 152 nin: 880 st: synced euw: 10 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 153 nin: 880 st: synced euw: 13 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- -154: 154 nin: 880 st: synced euw: 8 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- -154: 155 nin: 880 st: synced euw: 6 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- -154: 156 nin: 880 st: synced euw: 8 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 157 nin: 880 st: synced euw: 6 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- -154: 158 nin: 880 st: synced euw: 7 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- -154: 159 nin: 880 st: synced euw: 7 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- -154: 160 nin: 880 st: synced euw: 7 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- +154: 142 nin: 880 st: synced euw: 11 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 143 nin: 880 st: synced euw: 8 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 144 nin: 880 st: synced euw: 11 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- +154: 145 nin: 880 st: synced euw: 8 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- +154: 146 nin: 880 st: synced euw: 9 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- +154: 147 nin: 880 st: synced euw: 8 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 148 nin: 880 st: synced euw: 8 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- +154: 149 nin: 880 st: synced euw: 7 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 150 nin: 880 st: synced euw: 9 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 151 nin: 880 st: synced euw: 9 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- +154: 152 nin: 880 st: synced euw: 8 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- +154: 153 nin: 880 st: synced euw: 5 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 154 nin: 880 st: synced euw: 9 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- +154: 155 nin: 880 st: synced euw: 8 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- +154: 156 nin: 880 st: synced euw: 9 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 157 nin: 880 st: synced euw: 4 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- +154: 158 nin: 880 st: synced euw: 9 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- +154: 159 nin: 880 st: synced euw: 7 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 160 nin: 880 st: synced euw: 8 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- 154: 161 nin: 880 st: synced euw: 7 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- -154: 162 nin: 880 st: synced euw: 8 2 mf: 0 f: -0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 163 nin: 880 st: search euw: 8 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 164 nin: 880 st: search euw: 8 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 165 nin: 1600 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 162 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 13.0 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +154: 163 nin: 880 st: search euw: 7 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 164 nin: 880 st: search euw: 7 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +142: 83 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 165 nin: 1600 st: search euw: 7 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- 154: 166 nin: 880 st: trial euw: 9 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 154: 167 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 168 nin: 880 st: synced euw: 6 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 169 nin: 880 st: synced euw: 11 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- -154: 170 nin: 880 st: synced euw: 6 2 mf: 5 f: 0.0 pbw: 1 rxst: --S- -154: 171 nin: 880 st: synced euw: 10 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 172 nin: 880 st: synced euw: 12 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 173 nin: 880 st: synced euw: 10 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- -154: 174 nin: 880 st: synced euw: 8 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- -154: 175 nin: 880 st: synced euw: 5 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- -154: 176 nin: 880 st: synced euw: 10 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- -154: 177 nin: 880 st: synced euw: 10 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- -154: 178 nin: 880 st: synced euw: 8 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- -154: 179 nin: 880 st: synced euw: 8 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- -154: 180 nin: 880 st: synced euw: 8 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- -154: 181 nin: 880 st: synced euw: 7 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 182 nin: 880 st: synced euw: 11 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 183 nin: 880 st: synced euw: 9 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- -154: 184 nin: 880 st: synced euw: 5 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- -154: 185 nin: 880 st: synced euw: 4 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 186 nin: 880 st: synced euw: 6 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- -154: 187 nin: 880 st: synced euw: 8 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- -154: 188 nin: 880 st: synced euw: 6 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 189 nin: 880 st: synced euw: 8 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 190 nin: 880 st: synced euw: 12 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- -154: 191 nin: 880 st: synced euw: 7 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 192 nin: 880 st: synced euw: 7 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- -154: 193 nin: 880 st: synced euw: 7 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 194 nin: 880 st: synced euw: 11 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- -154: 195 nin: 880 st: synced euw: 9 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- -154: 196 nin: 880 st: synced euw: 7 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- -154: 197 nin: 880 st: synced euw: 9 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- -154: 198 nin: 880 st: synced euw: 13 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- -154: 199 nin: 880 st: synced euw: 7 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 168 nin: 880 st: synced euw: 10 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- +154: 169 nin: 880 st: synced euw: 10 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 170 nin: 880 st: synced euw: 7 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 171 nin: 880 st: synced euw: 11 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- +154: 172 nin: 880 st: synced euw: 8 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- +154: 173 nin: 880 st: synced euw: 10 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- +154: 174 nin: 880 st: synced euw: 10 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- +154: 175 nin: 880 st: synced euw: 8 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- +154: 176 nin: 880 st: synced euw: 8 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- +154: 177 nin: 880 st: synced euw: 10 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- +154: 178 nin: 880 st: synced euw: 3 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- +154: 179 nin: 880 st: synced euw: 9 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 180 nin: 880 st: synced euw: 6 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- +154: 181 nin: 880 st: synced euw: 11 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- +154: 182 nin: 880 st: synced euw: 9 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- +154: 183 nin: 880 st: synced euw: 5 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 184 nin: 880 st: synced euw: 3 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- +154: 185 nin: 880 st: synced euw: 9 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- +154: 186 nin: 880 st: synced euw: 9 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- +154: 187 nin: 880 st: synced euw: 6 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- +154: 188 nin: 880 st: synced euw: 8 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 189 nin: 880 st: synced euw: 7 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- +154: 190 nin: 880 st: synced euw: 7 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 191 nin: 880 st: synced euw: 11 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 192 nin: 880 st: synced euw: 8 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- +154: 193 nin: 880 st: synced euw: 6 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- +154: 194 nin: 880 st: synced euw: 5 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 195 nin: 880 st: synced euw: 8 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- +154: 196 nin: 880 st: synced euw: 6 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 197 nin: 880 st: synced euw: 7 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 198 nin: 880 st: synced euw: 9 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 199 nin: 880 st: synced euw: 10 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- 154: 200 nin: 880 st: synced euw: 6 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- -154: 201 nin: 880 st: synced euw: 9 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- -154: 202 nin: 880 st: synced euw: 7 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 203 nin: 880 st: synced euw: 9 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 204 nin: 880 st: search euw: 9 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 205 nin: 880 st: search euw: 9 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 206 nin: 1600 st: search euw: 9 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 207 nin: 880 st: trial euw: 11 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 201 nin: 880 st: synced euw: 8 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 202 nin: 880 st: synced euw: 3 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- +154: 203 nin: 880 st: synced euw: 8 2 mf: 0 f: 0.0 pbw: 1 snr: 13.7 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +154: 204 nin: 880 st: search euw: 8 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 205 nin: 880 st: search euw: 8 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +142: 84 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 206 nin: 1600 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 207 nin: 880 st: trial euw: 10 1 mf: 0 f: 0.0 pbw: 1 rxst: --ST 154: 208 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 209 nin: 880 st: synced euw: 8 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 210 nin: 880 st: synced euw: 3 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 209 nin: 880 st: synced euw: 7 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 210 nin: 880 st: synced euw: 4 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- 154: 211 nin: 880 st: synced euw: 8 2 mf: 5 f: 0.0 pbw: 1 rxst: --S- -154: 212 nin: 880 st: synced euw: 9 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- -154: 213 nin: 880 st: synced euw: 7 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 214 nin: 880 st: synced euw: 7 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- -154: 215 nin: 880 st: synced euw: 6 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- -154: 216 nin: 880 st: synced euw: 9 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- -154: 217 nin: 880 st: synced euw: 7 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- -154: 218 nin: 880 st: synced euw: 8 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- -154: 219 nin: 880 st: synced euw: 6 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 220 nin: 880 st: synced euw: 8 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- -154: 221 nin: 880 st: synced euw: 7 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- -154: 222 nin: 880 st: synced euw: 8 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- -154: 223 nin: 880 st: synced euw: 8 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 224 nin: 880 st: synced euw: 7 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- -154: 225 nin: 880 st: synced euw: 8 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- -154: 226 nin: 880 st: synced euw: 5 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 227 nin: 880 st: synced euw: 3 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- -154: 228 nin: 880 st: synced euw: 10 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- -154: 229 nin: 880 st: synced euw: 7 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 230 nin: 880 st: synced euw: 8 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- -154: 231 nin: 880 st: synced euw: 7 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- -154: 232 nin: 880 st: synced euw: 6 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 233 nin: 880 st: synced euw: 9 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- -154: 234 nin: 880 st: synced euw: 8 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 235 nin: 880 st: synced euw: 8 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- -154: 236 nin: 880 st: synced euw: 9 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- -154: 237 nin: 880 st: synced euw: 6 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 212 nin: 880 st: synced euw: 7 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- +154: 213 nin: 880 st: synced euw: 8 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- +154: 214 nin: 880 st: synced euw: 9 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- +154: 215 nin: 880 st: synced euw: 10 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- +154: 216 nin: 880 st: synced euw: 5 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- +154: 217 nin: 880 st: synced euw: 7 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- +154: 218 nin: 880 st: synced euw: 8 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- +154: 219 nin: 880 st: synced euw: 6 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- +154: 220 nin: 880 st: synced euw: 2 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 221 nin: 880 st: synced euw: 8 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 222 nin: 880 st: synced euw: 6 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- +154: 223 nin: 880 st: synced euw: 9 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- +154: 224 nin: 880 st: synced euw: 7 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- +154: 225 nin: 880 st: synced euw: 9 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 226 nin: 880 st: synced euw: 8 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- +154: 227 nin: 880 st: synced euw: 10 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- +154: 228 nin: 880 st: synced euw: 7 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 229 nin: 880 st: synced euw: 9 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 230 nin: 880 st: synced euw: 6 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- +154: 231 nin: 880 st: synced euw: 9 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 232 nin: 880 st: synced euw: 10 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 233 nin: 880 st: synced euw: 6 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- +154: 234 nin: 880 st: synced euw: 5 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- +154: 235 nin: 880 st: synced euw: 10 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 236 nin: 880 st: synced euw: 8 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- +154: 237 nin: 880 st: synced euw: 8 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- 154: 238 nin: 880 st: synced euw: 7 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 239 nin: 880 st: synced euw: 9 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- -154: 240 nin: 880 st: synced euw: 8 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- -154: 241 nin: 880 st: synced euw: 11 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- -154: 242 nin: 880 st: synced euw: 9 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- -154: 243 nin: 880 st: synced euw: 10 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 244 nin: 880 st: synced euw: 7 2 mf: 0 f: 0.0 pbw: 1 snr: 12.9 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 245 nin: 880 st: search euw: 7 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 246 nin: 880 st: search euw: 7 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 247 nin: 1600 st: search euw: 7 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 248 nin: 880 st: trial euw: 9 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 239 nin: 880 st: synced euw: 7 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 240 nin: 880 st: synced euw: 9 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 241 nin: 880 st: synced euw: 12 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 242 nin: 880 st: synced euw: 9 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 243 nin: 880 st: synced euw: 8 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- +154: 244 nin: 880 st: synced euw: 9 2 mf: 0 f: 0.0 pbw: 1 snr: 12.6 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +154: 245 nin: 880 st: search euw: 9 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 246 nin: 880 st: search euw: 9 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 247 nin: 1600 st: search euw: 9 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 248 nin: 880 st: trial euw: 7 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 154: 249 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST 154: 250 nin: 880 st: synced euw: 8 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 251 nin: 880 st: synced euw: 8 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 251 nin: 880 st: synced euw: 11 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- 154: 252 nin: 880 st: synced euw: 7 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- -154: 253 nin: 880 st: synced euw: 10 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 254 nin: 880 st: synced euw: 5 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- -154: 255 nin: 880 st: synced euw: 8 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- -154: 256 nin: 880 st: synced euw: 5 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- -154: 257 nin: 880 st: synced euw: 10 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 258 nin: 880 st: synced euw: 6 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- -154: 259 nin: 880 st: synced euw: 11 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- -154: 260 nin: 880 st: synced euw: 9 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 261 nin: 880 st: synced euw: 7 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 253 nin: 880 st: synced euw: 13 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- +154: 254 nin: 880 st: synced euw: 8 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- +154: 255 nin: 880 st: synced euw: 9 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- +154: 256 nin: 880 st: synced euw: 6 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- +154: 257 nin: 880 st: synced euw: 5 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- +154: 258 nin: 880 st: synced euw: 5 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- +154: 259 nin: 880 st: synced euw: 6 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- +154: 260 nin: 880 st: synced euw: 10 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- +154: 261 nin: 880 st: synced euw: 10 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- 154: 262 nin: 880 st: synced euw: 9 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 263 nin: 880 st: synced euw: 8 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- -154: 264 nin: 880 st: synced euw: 8 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- -154: 265 nin: 880 st: synced euw: 8 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- -154: 266 nin: 880 st: synced euw: 10 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- +154: 263 nin: 880 st: synced euw: 9 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- +154: 264 nin: 880 st: synced euw: 13 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- +154: 265 nin: 880 st: synced euw: 11 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 266 nin: 880 st: synced euw: 7 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- 154: 267 nin: 880 st: synced euw: 7 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 268 nin: 880 st: synced euw: 7 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- -154: 269 nin: 880 st: synced euw: 10 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- -154: 270 nin: 880 st: synced euw: 7 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- -154: 271 nin: 880 st: synced euw: 6 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 272 nin: 880 st: synced euw: 9 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- -154: 273 nin: 880 st: synced euw: 9 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 274 nin: 880 st: synced euw: 9 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- +154: 268 nin: 880 st: synced euw: 8 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- +154: 269 nin: 880 st: synced euw: 9 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 270 nin: 880 st: synced euw: 8 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- +154: 271 nin: 880 st: synced euw: 7 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- +154: 272 nin: 880 st: synced euw: 10 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 273 nin: 880 st: synced euw: 8 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 274 nin: 880 st: synced euw: 7 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- 154: 275 nin: 880 st: synced euw: 6 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 276 nin: 880 st: synced euw: 10 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- -154: 277 nin: 880 st: synced euw: 8 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- -154: 278 nin: 880 st: synced euw: 8 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- -154: 279 nin: 880 st: synced euw: 6 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 280 nin: 880 st: synced euw: 11 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- -154: 281 nin: 880 st: synced euw: 6 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- -154: 282 nin: 880 st: synced euw: 9 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- -154: 283 nin: 880 st: synced euw: 10 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- -154: 284 nin: 880 st: synced euw: 8 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 285 nin: 880 st: synced euw: 5 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 286 nin: 880 st: search euw: 5 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 287 nin: 880 st: search euw: 5 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 288 nin: 1600 st: search euw: 5 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 289 nin: 880 st: trial euw: 9 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 276 nin: 880 st: synced euw: 7 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- +154: 277 nin: 880 st: synced euw: 8 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- +154: 278 nin: 880 st: synced euw: 11 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 279 nin: 880 st: synced euw: 9 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 280 nin: 880 st: synced euw: 10 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 281 nin: 880 st: synced euw: 4 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 282 nin: 880 st: synced euw: 11 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 283 nin: 880 st: synced euw: 5 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 284 nin: 880 st: synced euw: 5 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- +154: 285 nin: 880 st: synced euw: 6 2 mf: 0 f: -0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 85 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 286 nin: 880 st: search euw: 6 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 287 nin: 880 st: search euw: 6 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 288 nin: 1600 st: search euw: 6 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 289 nin: 880 st: trial euw: 10 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 154: 290 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST -154: 291 nin: 880 st: synced euw: 9 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- -154: 292 nin: 880 st: synced euw: 8 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- -154: 293 nin: 880 st: synced euw: 6 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- -154: 294 nin: 880 st: synced euw: 8 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 295 nin: 880 st: synced euw: 5 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 296 nin: 880 st: synced euw: 8 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- -154: 297 nin: 880 st: synced euw: 10 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- -154: 298 nin: 880 st: synced euw: 3 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 299 nin: 880 st: synced euw: 7 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- -154: 300 nin: 880 st: synced euw: 8 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- -154: 301 nin: 880 st: synced euw: 6 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- -154: 302 nin: 880 st: synced euw: 4 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- -154: 303 nin: 880 st: synced euw: 9 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 304 nin: 880 st: synced euw: 7 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- -154: 305 nin: 880 st: synced euw: 10 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 306 nin: 880 st: synced euw: 6 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 307 nin: 880 st: synced euw: 7 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- -154: 308 nin: 880 st: synced euw: 10 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 309 nin: 880 st: synced euw: 7 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- -154: 310 nin: 880 st: synced euw: 7 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- -154: 311 nin: 880 st: synced euw: 9 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 312 nin: 880 st: synced euw: 9 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 313 nin: 880 st: synced euw: 11 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- -154: 314 nin: 880 st: synced euw: 7 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 315 nin: 880 st: synced euw: 10 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- -154: 316 nin: 880 st: synced euw: 11 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- -154: 317 nin: 880 st: synced euw: 10 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- -154: 318 nin: 880 st: synced euw: 7 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- -154: 319 nin: 880 st: synced euw: 8 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- -154: 320 nin: 880 st: synced euw: 11 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 321 nin: 880 st: synced euw: 7 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- -154: 322 nin: 880 st: synced euw: 9 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- -154: 323 nin: 880 st: synced euw: 5 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- -154: 324 nin: 880 st: synced euw: 9 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- -154: 325 nin: 880 st: synced euw: 8 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- -154: 326 nin: 880 st: synced euw: 7 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 327 nin: 880 st: search euw: 7 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 328 nin: 880 st: search euw: 7 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 329 nin: 1600 st: search euw: 7 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 330 nin: 880 st: trial euw: 7 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 291 nin: 880 st: synced euw: 8 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- +154: 292 nin: 880 st: synced euw: 8 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- +154: 293 nin: 880 st: synced euw: 5 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 294 nin: 880 st: synced euw: 8 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- +154: 295 nin: 880 st: synced euw: 8 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- +154: 296 nin: 880 st: synced euw: 5 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- +154: 297 nin: 880 st: synced euw: 6 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- +154: 298 nin: 880 st: synced euw: 8 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- +154: 299 nin: 880 st: synced euw: 10 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- +154: 300 nin: 880 st: synced euw: 9 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- +154: 301 nin: 880 st: synced euw: 9 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- +154: 302 nin: 880 st: synced euw: 9 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 303 nin: 880 st: synced euw: 10 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 304 nin: 880 st: synced euw: 11 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- +154: 305 nin: 880 st: synced euw: 9 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- +154: 306 nin: 880 st: synced euw: 3 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 307 nin: 880 st: synced euw: 10 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 308 nin: 880 st: synced euw: 8 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- +154: 309 nin: 880 st: synced euw: 9 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- +154: 310 nin: 880 st: synced euw: 8 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 311 nin: 880 st: synced euw: 12 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 312 nin: 880 st: synced euw: 6 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- +154: 313 nin: 880 st: synced euw: 7 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- +154: 314 nin: 880 st: synced euw: 9 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- +154: 315 nin: 880 st: synced euw: 11 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- +154: 316 nin: 880 st: synced euw: 5 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- +154: 317 nin: 880 st: synced euw: 10 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- +154: 318 nin: 880 st: synced euw: 6 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- +154: 319 nin: 880 st: synced euw: 5 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 320 nin: 880 st: synced euw: 6 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 321 nin: 880 st: synced euw: 8 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 322 nin: 880 st: synced euw: 7 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 323 nin: 880 st: synced euw: 7 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 324 nin: 880 st: synced euw: 10 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 325 nin: 880 st: synced euw: 7 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- +154: 326 nin: 880 st: synced euw: 6 2 mf: 0 f: 0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +154: 327 nin: 880 st: search euw: 6 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +142: 86 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 328 nin: 880 st: search euw: 6 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 329 nin: 1600 st: search euw: 6 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 330 nin: 880 st: trial euw: 5 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST 154: 331 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 332 nin: 880 st: synced euw: 8 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- -154: 333 nin: 880 st: synced euw: 14 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- -154: 334 nin: 880 st: synced euw: 6 2 mf: 5 f: 0.0 pbw: 1 rxst: --S- -154: 335 nin: 880 st: synced euw: 6 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- -154: 336 nin: 880 st: synced euw: 6 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- -154: 337 nin: 880 st: synced euw: 8 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- -154: 338 nin: 880 st: synced euw: 9 2 mf: 9 f: -0.0 pbw: 1 rxst: --S- -154: 339 nin: 880 st: synced euw: 8 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 340 nin: 880 st: synced euw: 8 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- +154: 332 nin: 880 st: synced euw: 6 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 333 nin: 880 st: synced euw: 6 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- +154: 334 nin: 880 st: synced euw: 4 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 335 nin: 880 st: synced euw: 5 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- +154: 336 nin: 880 st: synced euw: 10 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- +154: 337 nin: 880 st: synced euw: 9 2 mf: 8 f: -0.0 pbw: 1 rxst: --S- +154: 338 nin: 880 st: synced euw: 9 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- +154: 339 nin: 880 st: synced euw: 9 2 mf: 10 f: 0.0 pbw: 1 rxst: --S- +154: 340 nin: 880 st: synced euw: 10 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- 154: 341 nin: 880 st: synced euw: 8 2 mf: 12 f: 0.0 pbw: 1 rxst: --S- -154: 342 nin: 880 st: synced euw: 10 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 343 nin: 880 st: synced euw: 11 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- -154: 344 nin: 880 st: synced euw: 10 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 345 nin: 880 st: synced euw: 11 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 346 nin: 880 st: synced euw: 5 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- -154: 347 nin: 880 st: synced euw: 9 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 348 nin: 880 st: synced euw: 8 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- +154: 342 nin: 880 st: synced euw: 8 2 mf: 13 f: -0.0 pbw: 1 rxst: --S- +154: 343 nin: 880 st: synced euw: 4 2 mf: 14 f: -0.0 pbw: 1 rxst: --S- +154: 344 nin: 880 st: synced euw: 11 2 mf: 15 f: -0.0 pbw: 1 rxst: --S- +154: 345 nin: 880 st: synced euw: 8 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- +154: 346 nin: 880 st: synced euw: 9 2 mf: 17 f: 0.0 pbw: 1 rxst: --S- +154: 347 nin: 880 st: synced euw: 6 2 mf: 18 f: 0.0 pbw: 1 rxst: --S- +154: 348 nin: 880 st: synced euw: 10 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- 154: 349 nin: 880 st: synced euw: 7 2 mf: 20 f: -0.0 pbw: 1 rxst: --S- -154: 350 nin: 880 st: synced euw: 9 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- -154: 351 nin: 880 st: synced euw: 5 2 mf: 22 f: -0.0 pbw: 1 rxst: --S- -154: 352 nin: 880 st: synced euw: 7 2 mf: 23 f: 0.0 pbw: 1 rxst: --S- -154: 353 nin: 880 st: synced euw: 9 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 354 nin: 880 st: synced euw: 6 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- -154: 355 nin: 880 st: synced euw: 6 2 mf: 26 f: 0.0 pbw: 1 rxst: --S- -154: 356 nin: 880 st: synced euw: 4 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- -154: 357 nin: 880 st: synced euw: 9 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 358 nin: 880 st: synced euw: 6 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- -154: 359 nin: 880 st: synced euw: 6 2 mf: 30 f: 0.0 pbw: 1 rxst: --S- -154: 360 nin: 880 st: synced euw: 8 2 mf: 31 f: -0.0 pbw: 1 rxst: --S- -154: 361 nin: 880 st: synced euw: 10 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 362 nin: 880 st: synced euw: 9 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- -154: 363 nin: 880 st: synced euw: 5 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- -154: 364 nin: 880 st: synced euw: 8 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- -154: 365 nin: 880 st: synced euw: 8 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- -154: 366 nin: 880 st: synced euw: 12 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 367 nin: 880 st: synced euw: 10 2 mf: 0 f: 0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- -154: 368 nin: 880 st: search euw: 10 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 369 nin: 880 st: search euw: 10 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- -154: 370 nin: 1600 st: search euw: 10 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- -154: 371 nin: 880 st: trial euw: 9 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST -154: 372 nin: 880 st: trial euw: 0 2 mf: 2 f: -0.0 pbw: 1 rxst: --ST -154: 373 nin: 880 st: synced euw: 6 2 mf: 3 f: 0.0 pbw: 1 rxst: --S- -154: 374 nin: 880 st: synced euw: 8 2 mf: 4 f: -0.0 pbw: 1 rxst: --S- -154: 375 nin: 880 st: synced euw: 7 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- -154: 376 nin: 880 st: synced euw: 8 2 mf: 6 f: 0.0 pbw: 1 rxst: --S- -154: 377 nin: 880 st: synced euw: 5 2 mf: 7 f: -0.0 pbw: 1 rxst: --S- -154: 378 nin: 880 st: synced euw: 5 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- +154: 350 nin: 880 st: synced euw: 10 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- +154: 351 nin: 880 st: synced euw: 9 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 352 nin: 880 st: synced euw: 7 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 353 nin: 880 st: synced euw: 6 2 mf: 24 f: -0.0 pbw: 1 rxst: --S- +154: 354 nin: 880 st: synced euw: 9 2 mf: 25 f: -0.0 pbw: 1 rxst: --S- +154: 355 nin: 880 st: synced euw: 9 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- +154: 356 nin: 880 st: synced euw: 7 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- +154: 357 nin: 880 st: synced euw: 8 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- +154: 358 nin: 880 st: synced euw: 5 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- +154: 359 nin: 880 st: synced euw: 7 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- +154: 360 nin: 880 st: synced euw: 9 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 361 nin: 880 st: synced euw: 7 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 362 nin: 880 st: synced euw: 6 2 mf: 33 f: -0.0 pbw: 1 rxst: --S- +154: 363 nin: 880 st: synced euw: 9 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 364 nin: 880 st: synced euw: 6 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- +154: 365 nin: 880 st: synced euw: 9 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- +154: 366 nin: 880 st: synced euw: 9 2 mf: 37 f: 0.0 pbw: 1 rxst: --S- +154: 367 nin: 880 st: synced euw: 8 2 mf: 0 f: 0.0 pbw: 1 snr: 12.8 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +142: 87 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154: 368 nin: 880 st: search euw: 8 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 369 nin: 880 st: search euw: 8 2 mf: 0 f: -9.0 pbw: 1 rxst: ---- +154: 370 nin: 1600 st: search euw: 8 0 mf: 0 f: 0.0 pbw: 1 rxst: ---- +154: 371 nin: 880 st: trial euw: 8 1 mf: 0 f: -0.0 pbw: 1 rxst: --ST +154: 372 nin: 880 st: trial euw: 0 2 mf: 2 f: 0.0 pbw: 1 rxst: --ST +154: 373 nin: 880 st: synced euw: 7 2 mf: 3 f: -0.0 pbw: 1 rxst: --S- +154: 374 nin: 880 st: synced euw: 9 2 mf: 4 f: 0.0 pbw: 1 rxst: --S- +154: 375 nin: 880 st: synced euw: 9 2 mf: 5 f: -0.0 pbw: 1 rxst: --S- +154: 376 nin: 880 st: synced euw: 8 2 mf: 6 f: -0.0 pbw: 1 rxst: --S- +154: 377 nin: 880 st: synced euw: 9 2 mf: 7 f: 0.0 pbw: 1 rxst: --S- +154: 378 nin: 880 st: synced euw: 8 2 mf: 8 f: 0.0 pbw: 1 rxst: --S- 154: 379 nin: 880 st: synced euw: 9 2 mf: 9 f: 0.0 pbw: 1 rxst: --S- -154: 380 nin: 880 st: synced euw: 8 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- -154: 381 nin: 880 st: synced euw: 8 2 mf: 11 f: -0.0 pbw: 1 rxst: --S- -154: 382 nin: 880 st: synced euw: 7 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- -154: 383 nin: 880 st: synced euw: 8 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- -154: 384 nin: 880 st: synced euw: 9 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- -154: 385 nin: 880 st: synced euw: 7 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- -154: 386 nin: 880 st: synced euw: 5 2 mf: 16 f: -0.0 pbw: 1 rxst: --S- -154: 387 nin: 880 st: synced euw: 6 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- +154: 380 nin: 880 st: synced euw: 9 2 mf: 10 f: -0.0 pbw: 1 rxst: --S- +154: 381 nin: 880 st: synced euw: 5 2 mf: 11 f: 0.0 pbw: 1 rxst: --S- +154: 382 nin: 880 st: synced euw: 10 2 mf: 12 f: -0.0 pbw: 1 rxst: --S- +154: 383 nin: 880 st: synced euw: 10 2 mf: 13 f: 0.0 pbw: 1 rxst: --S- +154: 384 nin: 880 st: synced euw: 8 2 mf: 14 f: 0.0 pbw: 1 rxst: --S- +154: 385 nin: 880 st: synced euw: 8 2 mf: 15 f: 0.0 pbw: 1 rxst: --S- +154: 386 nin: 880 st: synced euw: 8 2 mf: 16 f: 0.0 pbw: 1 rxst: --S- +154: 387 nin: 880 st: synced euw: 7 2 mf: 17 f: -0.0 pbw: 1 rxst: --S- 154: 388 nin: 880 st: synced euw: 8 2 mf: 18 f: -0.0 pbw: 1 rxst: --S- -154: 389 nin: 880 st: synced euw: 8 2 mf: 19 f: 0.0 pbw: 1 rxst: --S- -154: 390 nin: 880 st: synced euw: 9 2 mf: 20 f: 0.0 pbw: 1 rxst: --S- -154: 391 nin: 880 st: synced euw: 10 2 mf: 21 f: -0.0 pbw: 1 rxst: --S- -154: 392 nin: 880 st: synced euw: 9 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- -154: 393 nin: 880 st: synced euw: 7 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- +154: 389 nin: 880 st: synced euw: 7 2 mf: 19 f: -0.0 pbw: 1 rxst: --S- +154: 390 nin: 880 st: synced euw: 7 2 mf: 20 f: 0.0 pbw: 1 rxst: --S- +154: 391 nin: 880 st: synced euw: 9 2 mf: 21 f: 0.0 pbw: 1 rxst: --S- +154: 392 nin: 880 st: synced euw: 8 2 mf: 22 f: 0.0 pbw: 1 rxst: --S- +154: 393 nin: 880 st: synced euw: 11 2 mf: 23 f: -0.0 pbw: 1 rxst: --S- 154: 394 nin: 880 st: synced euw: 6 2 mf: 24 f: 0.0 pbw: 1 rxst: --S- -154: 395 nin: 880 st: synced euw: 6 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- +154: 395 nin: 880 st: synced euw: 8 2 mf: 25 f: 0.0 pbw: 1 rxst: --S- 154: 396 nin: 880 st: synced euw: 10 2 mf: 26 f: -0.0 pbw: 1 rxst: --S- -154: 397 nin: 880 st: synced euw: 9 2 mf: 27 f: 0.0 pbw: 1 rxst: --S- -154: 398 nin: 880 st: synced euw: 11 2 mf: 28 f: -0.0 pbw: 1 rxst: --S- -154: 399 nin: 880 st: synced euw: 8 2 mf: 29 f: 0.0 pbw: 1 rxst: --S- +154: 397 nin: 880 st: synced euw: 8 2 mf: 27 f: -0.0 pbw: 1 rxst: --S- +154: 398 nin: 880 st: synced euw: 6 2 mf: 28 f: 0.0 pbw: 1 rxst: --S- +154: 399 nin: 880 st: synced euw: 5 2 mf: 29 f: -0.0 pbw: 1 rxst: --S- 154: 400 nin: 880 st: synced euw: 8 2 mf: 30 f: -0.0 pbw: 1 rxst: --S- -154: 401 nin: 880 st: synced euw: 8 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- -154: 402 nin: 880 st: synced euw: 6 2 mf: 32 f: 0.0 pbw: 1 rxst: --S- -154: 403 nin: 880 st: synced euw: 7 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- -154: 404 nin: 880 st: synced euw: 5 2 mf: 34 f: -0.0 pbw: 1 rxst: --S- -154: 405 nin: 880 st: synced euw: 9 2 mf: 35 f: -0.0 pbw: 1 rxst: --S- -154: 406 nin: 880 st: synced euw: 11 2 mf: 36 f: 0.0 pbw: 1 rxst: --S- -154: 407 nin: 880 st: synced euw: 7 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- -154: 408 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 13.1 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- +154: 401 nin: 880 st: synced euw: 9 2 mf: 31 f: 0.0 pbw: 1 rxst: --S- +154: 402 nin: 880 st: synced euw: 13 2 mf: 32 f: -0.0 pbw: 1 rxst: --S- +154: 403 nin: 880 st: synced euw: 5 2 mf: 33 f: 0.0 pbw: 1 rxst: --S- +154: 404 nin: 880 st: synced euw: 11 2 mf: 34 f: 0.0 pbw: 1 rxst: --S- +154: 405 nin: 880 st: synced euw: 5 2 mf: 35 f: 0.0 pbw: 1 rxst: --S- +154: 406 nin: 880 st: synced euw: 7 2 mf: 36 f: -0.0 pbw: 1 rxst: --S- +154: 407 nin: 880 st: synced euw: 10 2 mf: 37 f: -0.0 pbw: 1 rxst: --S- +154: 408 nin: 880 st: synced euw: 7 2 mf: 0 f: -0.0 pbw: 1 snr: 13.2 eraw: 0 ecdd: 0 iter: 1 pcc: 4096 rxst: -BS- 154: 409 nin: 880 st: search euw: 7 2 mf: 0 f: 0.0 pbw: 1 rxst: ---- -152/155 Test #154: test_demo_datac1 ............................... Passed 8.94 sec +142: 88 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +151/155 Test #154: test_demo_datac1 ............................... Passed 3.87 sec test 155 Start 155: test_demo_datac0c1 @@ -17201,25 +17312,549 @@ ./demo/freedv_datac0c1_rx" 155: Working Directory: /build/reproducible-path/codec2-1.2.0/Build 155: Test timeout computed to be: 1500 -155: /usr/bin/sh: 4: sox: not found 155: ch: Fs: 8000 NodB: -24.00 foff: 20.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +155: /usr/bin/sh: line 4: sox: command not found 155: DATAC0 Frames: 0 DATAC1 Frames: 0 -153/155 Test #155: test_demo_datac0c1 .............................***Failed Required regular expression not found. Regex=[DATAC0 Frames: 10 DATAC1 Frames: 10 -] 0.14 sec -/usr/bin/sh: 4: sox: not found +152/155 Test #155: test_demo_datac0c1 .............................***Failed Required regular expression not found. Regex=[DATAC0 Frames: 10 DATAC1 Frames: 10 +] 0.05 sec ch: Fs: 8000 NodB: -24.00 foff: 20.00 Hz fading: 0 nhfdelay: 0 clip: 32767.00 ssbfilt: 1 complexout: 0 +/usr/bin/sh: line 4: sox: command not found DATAC0 Frames: 0 DATAC1 Frames: 0 -98: 7 -154/155 Test #98: test_freedv_reliable_text_ideal_2020 ...........***Failed Required regular expression not found. Regex=[9 -]514.67 sec -7 +142: 89 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 90 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 91 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 92 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 93 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 94 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 95 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 96 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 97 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 98 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 99 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 100 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 101 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 102 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 6.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 103 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 104 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 105 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 106 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 107 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 108 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 109 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 110 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 111 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 112 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 113 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 114 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 115 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 116 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 117 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 118 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 119 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 120 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 121 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 122 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 123 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 124 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 125 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 126 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 127 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 128 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 129 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 130 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 131 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 132 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 6.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 133 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 134 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 135 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 136 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 137 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 12.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 138 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 139 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 140 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 141 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 142 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 143 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 144 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 145 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 146 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 147 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 7.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 148 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 149 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 150 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 151 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 152 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 153 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 154 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 155 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 156 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 157 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 158 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 159 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 160 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 161 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 162 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 163 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 164 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 165 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 166 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 167 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 168 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 169 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 170 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 171 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 172 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 173 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 174 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 175 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 176 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 177 nin: 880 st: synced euw: 9 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 178 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 179 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 180 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 181 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 182 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 183 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 184 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 185 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 186 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 187 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 188 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 189 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 190 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 191 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 192 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 193 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 194 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 195 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 196 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 197 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 198 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 199 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 200 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 201 nin: 880 st: synced euw: 9 3 mf: 0 f: -0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 202 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 203 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 204 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 205 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 13.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 206 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 207 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 208 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 209 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 210 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 211 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 212 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 213 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 214 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 215 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 216 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 217 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 218 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 219 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 220 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 221 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 222 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 223 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 224 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 225 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 226 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 227 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 228 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 229 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 230 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 231 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 232 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 12.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 233 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 234 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 235 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 236 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 11.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 237 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 12.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 238 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 239 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 240 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 241 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 242 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 243 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 244 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 245 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 246 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 247 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 12.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 248 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 249 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 250 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 251 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 252 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 253 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 254 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 255 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 256 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 257 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 258 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 259 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 260 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 261 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 262 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 6.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 263 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 264 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 265 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 266 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 267 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 268 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 269 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 270 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 271 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 272 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 273 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 274 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 275 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 276 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 277 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 278 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 279 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 280 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 281 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 282 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 283 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 284 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 285 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 286 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 287 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 288 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 289 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 290 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 291 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 292 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 11.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 293 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 294 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 295 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 296 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 297 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: mark:space: 0.75 SNR offset: -1.25 +142: 298 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 299 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 300 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: 301 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142: modembufs: 2116 bytes: 4228 Frms.: 302 SNRAv: 9.06 +142: Binary files binaryIn.bin and binaryOut.bin differ +153/155 Test #142: test_freedv_data_raw_ofdm_datac0_burst_file ....***Failed 119.82 sec +payload bytes_per_modem_frame: 14 payload bytes_per_modem_frame: 14 + 0 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 1 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 2 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 3 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 4 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 5 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 6 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 7 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 8 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 11.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 9 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 10 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 11 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 12 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 13 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 14 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 15 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 16 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 17 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 18 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 19 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 20 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 21 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 6.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 22 nin: 880 st: synced euw: 10 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 23 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 24 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 25 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 26 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 27 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 28 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 29 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 30 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 31 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 32 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 33 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 34 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 35 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 36 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 37 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 38 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 39 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 40 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 41 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 42 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 43 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 44 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 45 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 46 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 47 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 48 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 49 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 50 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 11.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 51 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 52 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 53 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 54 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 55 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 56 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 57 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 58 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 59 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 60 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 61 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 62 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 63 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 64 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 65 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 66 nin: 880 st: synced euw: 8 3 mf: 0 f: 0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 67 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 68 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 69 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 70 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 71 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 72 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 73 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 74 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 75 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 76 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 77 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 78 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 79 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 80 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 81 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 82 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 83 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 84 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 85 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 86 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 87 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 88 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 89 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 90 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 91 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 92 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 93 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 94 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 95 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 96 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 97 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 98 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- + 99 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +100 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +101 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +102 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 6.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +103 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +104 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +105 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +106 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +107 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +108 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +109 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +110 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +111 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +112 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +113 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +114 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +115 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +116 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +117 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +118 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +119 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +120 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +121 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +122 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +123 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +124 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +125 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +126 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +127 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 10.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +128 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +129 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +130 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +131 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +132 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 6.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +133 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 7.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +134 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +135 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +136 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +137 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 12.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +138 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +139 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +140 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +141 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +142 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +143 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +144 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +145 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +146 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +147 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 7.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +148 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +149 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +150 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +151 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +152 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +153 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +154 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +155 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +156 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +157 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +158 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +159 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +160 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +161 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +162 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +163 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +164 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +165 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +166 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +167 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +168 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +169 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +170 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +171 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +172 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +173 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +174 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +175 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +176 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +177 nin: 880 st: synced euw: 9 3 mf: 0 f: -0.0 pbw: 1 snr: 8.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +178 nin: 880 st: synced euw: 10 3 mf: 0 f: -0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +179 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +180 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +181 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +182 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +183 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +184 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +185 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +186 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +187 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +188 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +189 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +190 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 10.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +191 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +192 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +193 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +194 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +195 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +196 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 10.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +197 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +198 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +199 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +200 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +201 nin: 880 st: synced euw: 9 3 mf: 0 f: -0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +202 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +203 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +204 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +205 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 13.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +206 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 10.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +207 nin: 880 st: synced euw: 19 3 mf: 0 f: 0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +208 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +209 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +210 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +211 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +212 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +213 nin: 880 st: synced euw: 15 3 mf: 0 f: 0.0 pbw: 1 snr: 8.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +214 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +215 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +216 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +217 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +218 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +219 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 6.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +220 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +221 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +222 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 7.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +223 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +224 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 7.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +225 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +226 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +227 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +228 nin: 880 st: synced euw: 22 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +229 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +230 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +231 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +232 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 12.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +233 nin: 880 st: synced euw: 11 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +234 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 6.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +235 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +236 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 11.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +237 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 12.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +238 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +239 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +240 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +241 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +242 nin: 880 st: synced euw: 14 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +243 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +244 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +245 nin: 880 st: synced euw: 13 3 mf: 0 f: 0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +246 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +247 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 12.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +248 nin: 880 st: synced euw: 12 3 mf: 0 f: 0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +249 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +250 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +251 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 11.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +252 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +253 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +254 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 8.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +255 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +256 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +257 nin: 880 st: synced euw: 20 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +258 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +259 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +260 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +261 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.6 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +262 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 6.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +263 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +264 nin: 880 st: synced euw: 18 3 mf: 0 f: 0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +265 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 10.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +266 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +267 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +268 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +269 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +270 nin: 880 st: synced euw: 13 3 mf: 0 f: -0.0 pbw: 1 snr: 10.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +271 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 9.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +272 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +273 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +274 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +275 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +276 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 8.9 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +277 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 10.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +278 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 7.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +279 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +280 nin: 880 st: synced euw: 19 3 mf: 0 f: -0.0 pbw: 1 snr: 8.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +281 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +282 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 11.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +283 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +284 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +285 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +286 nin: 880 st: synced euw: 16 3 mf: 0 f: 0.0 pbw: 1 snr: 7.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +287 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.5 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +288 nin: 880 st: synced euw: 17 3 mf: 0 f: 0.0 pbw: 1 snr: 10.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +289 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +290 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +291 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 10.4 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +292 nin: 880 st: synced euw: 18 3 mf: 0 f: -0.0 pbw: 1 snr: 11.1 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +293 nin: 880 st: synced euw: 11 3 mf: 0 f: -0.0 pbw: 1 snr: 9.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +294 nin: 880 st: synced euw: 17 3 mf: 0 f: -0.0 pbw: 1 snr: 8.7 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +295 nin: 880 st: synced euw: 16 3 mf: 0 f: -0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +296 nin: 880 st: synced euw: 21 3 mf: 0 f: 0.0 pbw: 1 snr: 7.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +297 nin: 880 st: synced euw: 12 3 mf: 0 f: -0.0 pbw: 1 snr: 8.8 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +mark:space: 0.75 SNR offset: -1.25 +298 nin: 880 st: synced euw: 14 3 mf: 0 f: 0.0 pbw: 1 snr: 9.3 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +299 nin: 880 st: synced euw: 15 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +300 nin: 880 st: synced euw: 21 3 mf: 0 f: -0.0 pbw: 1 snr: 8.2 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +301 nin: 880 st: synced euw: 20 3 mf: 0 f: -0.0 pbw: 1 snr: 9.0 eraw: 0 ecdd: 0 iter: 1 pcc: 128 rxst: -BS- +modembufs: 2116 bytes: 4228 Frms.: 302 SNRAv: 9.06 +Binary files binaryIn.bin and binaryOut.bin differ + +154/155 Test #99: test_freedv_reliable_text_awgn_2020 ............ Passed 169.41 sec +98: 4 +155/155 Test #98: test_freedv_reliable_text_ideal_2020 ...........***Failed Required regular expression not found. Regex=[9 +]171.24 sec +4 -155/155 Test #99: test_freedv_reliable_text_awgn_2020 ............ Passed 516.08 sec -54% tests passed, 72 tests failed out of 155 +52% tests passed, 74 tests failed out of 155 -Total Test time (real) = 595.11 sec +Total Test time (real) = 200.17 sec The following tests FAILED: 1 - test_clang_format (Failed) @@ -17259,6 +17894,7 @@ 73 - test_freedv_api_700D_real_comp (Failed) 79 - test_freedv_api_2020B_mpp (Failed) 88 - test_freedv_reliable_text_truncate_string (Failed) + 90 - test_freedv_reliable_text_ideal_700D (Failed) 95 - test_freedv_reliable_text_fade_1600 (Failed) 96 - test_freedv_reliable_text_fade_700D (Failed) 97 - test_freedv_reliable_text_fade_700E (Failed) @@ -17292,12 +17928,13 @@ 127 - test_fsk_lib (Failed) 128 - test_fsk_modem_octave_port (Failed) 134 - test_fsk_lib_4fsk_ldpc (Failed) + 142 - test_freedv_data_raw_ofdm_datac0_burst_file (Failed) 153 - test_demo_700d_python (Failed) 155 - test_demo_datac0c1 (Failed) Errors while running CTest make[2]: *** [Makefile:94: test] Error 8 make[2]: Leaving directory '/build/reproducible-path/codec2-1.2.0/Build' -dh_auto_test: error: cd Build && make -j3 test ARGS\+=--verbose ARGS\+=-j3 returned exit code 2 +dh_auto_test: error: cd Build && make -j4 test ARGS\+=--verbose ARGS\+=-j4 returned exit code 2 make[1]: [debian/rules:37: override_dh_auto_test] Error 25 (ignored) make[1]: Leaving directory '/build/reproducible-path/codec2-1.2.0' create-stamp debian/debhelper-build-stamp @@ -17305,7 +17942,7 @@ dh_prep -O--builddirectory=Build -O--buildsystem=cmake dh_installdirs -O--builddirectory=Build -O--buildsystem=cmake dh_auto_install -O--builddirectory=Build -O--buildsystem=cmake - cd Build && make -j3 install DESTDIR=/build/reproducible-path/codec2-1.2.0/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + cd Build && make -j4 install DESTDIR=/build/reproducible-path/codec2-1.2.0/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[1]: Entering directory '/build/reproducible-path/codec2-1.2.0/Build' /usr/bin/cmake -S/build/reproducible-path/codec2-1.2.0 -B/build/reproducible-path/codec2-1.2.0/Build --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall @@ -17366,8 +18003,8 @@ dh_md5sums -O--builddirectory=Build -O--buildsystem=cmake dh_builddeb -O--builddirectory=Build -O--buildsystem=cmake dpkg-deb: building package 'codec2' in '../codec2_1.2.0-2_armhf.deb'. -dpkg-deb: building package 'libcodec2-1.2' in '../libcodec2-1.2_1.2.0-2_armhf.deb'. dpkg-deb: building package 'libcodec2-dev' in '../libcodec2-dev_1.2.0-2_armhf.deb'. +dpkg-deb: building package 'libcodec2-1.2' in '../libcodec2-1.2_1.2.0-2_armhf.deb'. dpkg-deb: building package 'codec2-dbgsym' in '../codec2-dbgsym_1.2.0-2_armhf.deb'. dpkg-deb: building package 'libcodec2-1.2-dbgsym' in '../libcodec2-1.2-dbgsym_1.2.0-2_armhf.deb'. dpkg-genbuildinfo --build=binary -O../codec2_1.2.0-2_armhf.buildinfo @@ -17377,12 +18014,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/14948/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/11896 and its subdirectories -I: Current time: Tue May 7 07:00:19 -12 2024 -I: pbuilder-time-stamp: 1715108419 +I: removing directory /srv/workspace/pbuilder/14948 and its subdirectories +I: Current time: Wed May 8 09:12:32 +14 2024 +I: pbuilder-time-stamp: 1715109152