I: pbuilder: network access will be disabled during build I: Current time: Tue May 16 20:52:38 +14 2023 I: pbuilder-time-stamp: 1684219958 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [verilator_5.006-3.dsc] I: copying [./verilator_5.006.orig.tar.gz] I: copying [./verilator_5.006-3.debian.tar.xz] I: Extracting source gpgv: Signature made Fri Mar 31 09:13:36 2023 +14 gpgv: using RSA key B70DFC6F134FECFC011E62AA83016014251D1DB0 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./verilator_5.006-3.dsc: no acceptable signature found dpkg-source: info: extracting verilator in verilator-5.006 dpkg-source: info: unpacking verilator_5.006.orig.tar.gz dpkg-source: info: unpacking verilator_5.006-3.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying pkgconfig.diff dpkg-source: info: applying Add-SOURCE_DATE_EPOCH-for-docs-guide-conf.py-3918.patch dpkg-source: info: applying Fix-date-on-the-front-page-of-verilator.pdf-3956-3957.patch dpkg-source: info: applying Fix-build-on-hppa.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/22641/tmp/hooks/D01_modify_environment starting debug: Running on virt64a. I: Changing host+domainname to test build reproducibility I: Adding a custom variable just for the fun of it... I: Changing /bin/sh to bash '/bin/sh' -> '/bin/bash' lrwxrwxrwx 1 root root 9 May 16 20:52 /bin/sh -> /bin/bash I: Setting pbuilder2's login shell to /bin/bash I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other I: user script /srv/workspace/pbuilder/22641/tmp/hooks/D01_modify_environment finished I: user script /srv/workspace/pbuilder/22641/tmp/hooks/D02_print_environment starting I: set BASH=/bin/sh BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath BASH_ALIASES=() BASH_ARGC=() BASH_ARGV=() BASH_CMDS=() BASH_LINENO=([0]="12" [1]="0") BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") BASH_VERSINFO=([0]="5" [1]="2" [2]="15" [3]="1" [4]="release" [5]="arm-unknown-linux-gnueabihf") BASH_VERSION='5.2.15(1)-release' BUILDDIR=/build BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' BUILDUSERNAME=pbuilder2 BUILD_ARCH=armhf DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=4 ' DIRSTACK=() DISTRIBUTION=bookworm EUID=0 FUNCNAME=([0]="Echo" [1]="main") GROUPS=() HOME=/root HOSTNAME=i-capture-the-hostname HOSTTYPE=arm HOST_ARCH=armhf IFS=' ' INVOCATION_ID=d903de25a2974cf48b6cb1cbf067a323 LANG=C LANGUAGE=it_CH:it LC_ALL=C MACHTYPE=arm-unknown-linux-gnueabihf MAIL=/var/mail/root OPTERR=1 OPTIND=1 OSTYPE=linux-gnueabihf PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path PBCURRENTCOMMANDLINEOPERATION=build PBUILDER_OPERATION=build PBUILDER_PKGDATADIR=/usr/share/pbuilder PBUILDER_PKGLIBDIR=/usr/lib/pbuilder PBUILDER_SYSCONFDIR=/etc PIPESTATUS=([0]="0") POSIXLY_CORRECT=y PPID=22641 PS4='+ ' PWD=/ SHELL=/bin/bash SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix SHLVL=3 SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.omTqCyC5/pbuilderrc_7hGz --distribution bookworm --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.omTqCyC5/b2 --logfile b2/build.log --extrapackages usrmerge verilator_5.006-3.dsc' SUDO_GID=114 SUDO_UID=108 SUDO_USER=jenkins TERM=unknown TZ=/usr/share/zoneinfo/Etc/GMT-14 UID=0 USER=root _='I: set' http_proxy=http://10.0.0.15:3142/ I: uname -a Linux i-capture-the-hostname 5.10.0-23-arm64 #1 SMP Debian 5.10.179-1 (2023-05-12) aarch64 GNU/Linux I: ls -l /bin total 5072 -rwxr-xr-x 1 root root 838488 Apr 24 11:24 bash -rwxr-xr-x 3 root root 67144 Sep 19 2022 bunzip2 -rwxr-xr-x 3 root root 67144 Sep 19 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 19 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 19 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 19 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 28 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 19 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 19 2022 bzgrep -rwxr-xr-x 3 root root 67144 Sep 19 2022 bzip2 -rwxr-xr-x 1 root root 67112 Sep 19 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 19 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 19 2022 bzmore -rwxr-xr-x 1 root root 67632 Sep 21 2022 cat -rwxr-xr-x 1 root root 67676 Sep 21 2022 chgrp -rwxr-xr-x 1 root root 67644 Sep 21 2022 chmod -rwxr-xr-x 1 root root 67684 Sep 21 2022 chown -rwxr-xr-x 1 root root 133532 Sep 21 2022 cp -rwxr-xr-x 1 root root 132868 Jan 6 03:20 dash -rwxr-xr-x 1 root root 133220 Sep 21 2022 date -rwxr-xr-x 1 root root 67732 Sep 21 2022 dd -rwxr-xr-x 1 root root 68104 Sep 21 2022 df -rwxr-xr-x 1 root root 133632 Sep 21 2022 dir -rwxr-xr-x 1 root root 59128 Mar 23 23:02 dmesg lrwxrwxrwx 1 root root 8 Dec 20 03:33 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 20 03:33 domainname -> hostname -rwxr-xr-x 1 root root 67560 Sep 21 2022 echo -rwxr-xr-x 1 root root 41 Jan 25 04:43 egrep -rwxr-xr-x 1 root root 67548 Sep 21 2022 false -rwxr-xr-x 1 root root 41 Jan 25 04:43 fgrep -rwxr-xr-x 1 root root 55748 Mar 23 23:02 findmnt -rwsr-xr-x 1 root root 26208 Mar 23 22:15 fusermount -rwxr-xr-x 1 root root 128608 Jan 25 04:43 grep -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe -rwxr-xr-x 1 root root 64220 Apr 10 2022 gzip -rwxr-xr-x 1 root root 67032 Dec 20 03:33 hostname -rwxr-xr-x 1 root root 67720 Sep 21 2022 ln -rwxr-xr-x 1 root root 35132 Mar 23 23:51 login -rwxr-xr-x 1 root root 133632 Sep 21 2022 ls -rwxr-xr-x 1 root root 136808 Mar 23 23:02 lsblk -rwxr-xr-x 1 root root 67800 Sep 21 2022 mkdir -rwxr-xr-x 1 root root 67764 Sep 21 2022 mknod -rwxr-xr-x 1 root root 67596 Sep 21 2022 mktemp -rwxr-xr-x 1 root root 38504 Mar 23 23:02 more -rwsr-xr-x 1 root root 38496 Mar 23 23:02 mount -rwxr-xr-x 1 root root 9824 Mar 23 23:02 mountpoint -rwxr-xr-x 1 root root 133532 Sep 21 2022 mv lrwxrwxrwx 1 root root 8 Dec 20 03:33 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 3 20:25 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 67608 Sep 21 2022 pwd lrwxrwxrwx 1 root root 4 Apr 24 11:24 rbash -> bash -rwxr-xr-x 1 root root 67600 Sep 21 2022 readlink -rwxr-xr-x 1 root root 67672 Sep 21 2022 rm -rwxr-xr-x 1 root root 67600 Sep 21 2022 rmdir -rwxr-xr-x 1 root root 67400 Nov 3 2022 run-parts -rwxr-xr-x 1 root root 133372 Jan 6 09:55 sed lrwxrwxrwx 1 root root 9 May 16 20:52 sh -> /bin/bash -rwxr-xr-x 1 root root 67584 Sep 21 2022 sleep -rwxr-xr-x 1 root root 67644 Sep 21 2022 stty -rwsr-xr-x 1 root root 50800 Mar 23 23:02 su -rwxr-xr-x 1 root root 67584 Sep 21 2022 sync -rwxr-xr-x 1 root root 336764 Apr 7 04:25 tar -rwxr-xr-x 1 root root 67144 Nov 3 2022 tempfile -rwxr-xr-x 1 root root 133224 Sep 21 2022 touch -rwxr-xr-x 1 root root 67548 Sep 21 2022 true -rwxr-xr-x 1 root root 9768 Mar 23 22:15 ulockmgr_server -rwsr-xr-x 1 root root 22108 Mar 23 23:02 umount -rwxr-xr-x 1 root root 67572 Sep 21 2022 uname -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress -rwxr-xr-x 1 root root 133632 Sep 21 2022 vdir -rwxr-xr-x 1 root root 42608 Mar 23 23:02 wdctl lrwxrwxrwx 1 root root 8 Dec 20 03:33 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 10 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 10 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew I: user script /srv/workspace/pbuilder/22641/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: armhf Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: bison, debhelper-compat (= 13), dh-sequence-sphinxdoc, flex, latexmk, libfl-dev, help2man, python3, python3-sphinx, python3-sphinx-rtd-theme, tex-gyre, texlive-latex-extra dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19329 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on dh-sequence-sphinxdoc; however: Package dh-sequence-sphinxdoc is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on latexmk; however: Package latexmk is not installed. pbuilder-satisfydepends-dummy depends on libfl-dev; however: Package libfl-dev is not installed. pbuilder-satisfydepends-dummy depends on help2man; however: Package help2man is not installed. pbuilder-satisfydepends-dummy depends on python3; however: Package python3 is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx; however: Package python3-sphinx is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx-rtd-theme; however: Package python3-sphinx-rtd-theme is not installed. pbuilder-satisfydepends-dummy depends on tex-gyre; however: Package tex-gyre is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-extra; however: Package texlive-latex-extra is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} ca-certificates{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} docutils-common{a} dwz{a} file{a} flex{a} fontconfig-config{a} fonts-dejavu-core{a} fonts-font-awesome{a} fonts-lato{a} fonts-lmodern{a} gettext{a} gettext-base{a} groff-base{a} help2man{a} intltool-debian{a} latexmk{a} libapache-pom-java{a} libarchive-zip-perl{a} libbrotli1{a} libbsd0{a} libcairo2{a} libcommons-logging-java{a} libcommons-parent-java{a} libdebhelper-perl{a} libelf1{a} libexpat1{a} libfile-stripnondeterminism-perl{a} libfl-dev{a} libfl2{a} libfontbox-java{a} libfontconfig1{a} libfontenc1{a} libfreetype6{a} libglib2.0-0{a} libgraphite2-3{a} libharfbuzz0b{a} libice6{a} libicu72{a} libjs-jquery{a} libjs-sphinxdoc{a} libjs-underscore{a} libjson-perl{a} libkpathsea6{a} liblocale-gettext-perl{a} libmagic-mgc{a} libmagic1{a} libpaper-utils{a} libpaper1{a} libpdfbox-java{a} libpipeline1{a} libpixman-1-0{a} libpng16-16{a} libptexenc1{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} libreadline8{a} libsm6{a} libsub-override-perl{a} libsynctex2{a} libteckit0{a} libtexlua53-5{a} libtexluajit2{a} libtool{a} libuchardet0{a} libx11-6{a} libx11-data{a} libxau6{a} libxaw7{a} libxcb-render0{a} libxcb-shm0{a} libxcb1{a} libxdmcp6{a} libxext6{a} libxi6{a} libxml2{a} libxmu6{a} libxpm4{a} libxrender1{a} libxt6{a} libzzip-0-13{a} m4{a} man-db{a} media-types{a} openssl{a} po-debconf{a} preview-latex-style{a} python-babel-localedata{a} python3{a} python3-alabaster{a} python3-babel{a} python3-certifi{a} python3-chardet{a} python3-charset-normalizer{a} python3-distutils{a} python3-docutils{a} python3-idna{a} python3-imagesize{a} python3-jinja2{a} python3-lib2to3{a} python3-markupsafe{a} python3-minimal{a} python3-packaging{a} python3-pkg-resources{a} python3-pygments{a} python3-requests{a} python3-roman{a} python3-six{a} python3-snowballstemmer{a} python3-sphinx{a} python3-sphinx-rtd-theme{a} python3-tz{a} python3-urllib3{a} python3.11{a} python3.11-minimal{a} readline-common{a} sensible-utils{a} sgml-base{a} sphinx-common{a} sphinx-rtd-theme-common{a} t1utils{a} tex-common{a} tex-gyre{a} texlive-base{a} texlive-binaries{a} texlive-latex-base{a} texlive-latex-extra{a} texlive-latex-recommended{a} texlive-pictures{a} ucf{a} x11-common{a} xdg-utils{a} xfonts-encodings{a} xfonts-utils{a} xml-core{a} The following packages are RECOMMENDED but will NOT be installed: apvlv atril curl default-jre dvisvgm evince fonts-texgyre fonts-texgyre-math ghostscript gv javascript-common libarchive-cpio-perl libfile-mimeinfo-perl libglib2.0-data libjson-xs-perl libltdl-dev libmail-sendmail-perl libnet-dbus-perl libspreadsheet-parseexcel-perl libx11-protocol-perl lmodern lynx mupdf okular python3-pil qpdfview qpdfview-ps-plugin ruby shared-mime-info texlive-fonts-recommended texlive-plain-generic tk viewpdf.app wget x11-utils x11-xserver-utils xdg-user-dirs xpdf zathura-pdf-poppler zathura-ps 0 packages upgraded, 144 newly installed, 0 to remove and 0 not upgraded. Need to get 144 MB of archives. After unpacking 530 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main armhf m4 armhf 1.4.19-3 [265 kB] Get: 2 http://deb.debian.org/debian bookworm/main armhf flex armhf 2.6.4-8.1 [424 kB] Get: 3 http://deb.debian.org/debian bookworm/main armhf fonts-lato all 2.0-2.1 [2696 kB] Get: 4 http://deb.debian.org/debian bookworm/main armhf liblocale-gettext-perl armhf 1.07-5 [14.9 kB] Get: 5 http://deb.debian.org/debian bookworm/main armhf libpython3.11-minimal armhf 3.11.2-6 [798 kB] Get: 6 http://deb.debian.org/debian bookworm/main armhf libexpat1 armhf 2.5.0-1 [79.9 kB] Get: 7 http://deb.debian.org/debian bookworm/main armhf python3.11-minimal armhf 3.11.2-6 [1714 kB] Get: 8 http://deb.debian.org/debian bookworm/main armhf python3-minimal armhf 3.11.2-1+b1 [26.3 kB] Get: 9 http://deb.debian.org/debian bookworm/main armhf media-types all 10.0.0 [26.1 kB] Get: 10 http://deb.debian.org/debian bookworm/main armhf readline-common all 8.2-1.3 [69.0 kB] Get: 11 http://deb.debian.org/debian bookworm/main armhf libreadline8 armhf 8.2-1.3 [144 kB] Get: 12 http://deb.debian.org/debian bookworm/main armhf libpython3.11-stdlib armhf 3.11.2-6 [1678 kB] Get: 13 http://deb.debian.org/debian bookworm/main armhf python3.11 armhf 3.11.2-6 [572 kB] Get: 14 http://deb.debian.org/debian bookworm/main armhf libpython3-stdlib armhf 3.11.2-1+b1 [9296 B] Get: 15 http://deb.debian.org/debian bookworm/main armhf python3 armhf 3.11.2-1+b1 [26.3 kB] Get: 16 http://deb.debian.org/debian bookworm/main armhf sgml-base all 1.31 [15.4 kB] Get: 17 http://deb.debian.org/debian bookworm/main armhf sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 18 http://deb.debian.org/debian bookworm/main armhf openssl armhf 3.0.8-1 [1373 kB] Get: 19 http://deb.debian.org/debian bookworm/main armhf ca-certificates all 20230311 [153 kB] Get: 20 http://deb.debian.org/debian bookworm/main armhf libmagic-mgc armhf 1:5.44-3 [305 kB] Get: 21 http://deb.debian.org/debian bookworm/main armhf libmagic1 armhf 1:5.44-3 [96.5 kB] Get: 22 http://deb.debian.org/debian bookworm/main armhf file armhf 1:5.44-3 [41.6 kB] Get: 23 http://deb.debian.org/debian bookworm/main armhf gettext-base armhf 0.21-12 [157 kB] Get: 24 http://deb.debian.org/debian bookworm/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB] Get: 25 http://deb.debian.org/debian bookworm/main armhf groff-base armhf 1.22.4-10 [825 kB] Get: 26 http://deb.debian.org/debian bookworm/main armhf bsdextrautils armhf 2.38.1-5+b1 [78.6 kB] Get: 27 http://deb.debian.org/debian bookworm/main armhf libpipeline1 armhf 1.5.7-1 [33.6 kB] Get: 28 http://deb.debian.org/debian bookworm/main armhf man-db armhf 2.11.2-2 [1351 kB] Get: 29 http://deb.debian.org/debian bookworm/main armhf ucf all 3.0043+nmu1 [55.2 kB] Get: 30 http://deb.debian.org/debian bookworm/main armhf autoconf all 2.71-3 [332 kB] Get: 31 http://deb.debian.org/debian bookworm/main armhf autotools-dev all 20220109.1 [51.6 kB] Get: 32 http://deb.debian.org/debian bookworm/main armhf automake all 1:1.16.5-1.3 [823 kB] Get: 33 http://deb.debian.org/debian bookworm/main armhf autopoint all 0.21-12 [495 kB] Get: 34 http://deb.debian.org/debian bookworm/main armhf bison armhf 2:3.8.2+dfsg-1+b1 [1142 kB] Get: 35 http://deb.debian.org/debian bookworm/main armhf libdebhelper-perl all 13.11.4 [81.2 kB] Get: 36 http://deb.debian.org/debian bookworm/main armhf libtool all 2.4.7-5 [517 kB] Get: 37 http://deb.debian.org/debian bookworm/main armhf dh-autoreconf all 20 [17.1 kB] Get: 38 http://deb.debian.org/debian bookworm/main armhf libarchive-zip-perl all 1.68-1 [104 kB] Get: 39 http://deb.debian.org/debian bookworm/main armhf libsub-override-perl all 0.09-4 [9304 B] Get: 40 http://deb.debian.org/debian bookworm/main armhf libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 41 http://deb.debian.org/debian bookworm/main armhf dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 42 http://deb.debian.org/debian bookworm/main armhf libelf1 armhf 0.188-2.1 [170 kB] Get: 43 http://deb.debian.org/debian bookworm/main armhf dwz armhf 0.15-1 [101 kB] Get: 44 http://deb.debian.org/debian bookworm/main armhf libicu72 armhf 72.1-3 [9048 kB] Get: 45 http://deb.debian.org/debian bookworm/main armhf libxml2 armhf 2.9.14+dfsg-1.2 [591 kB] Get: 46 http://deb.debian.org/debian bookworm/main armhf gettext armhf 0.21-12 [1229 kB] Get: 47 http://deb.debian.org/debian bookworm/main armhf intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 48 http://deb.debian.org/debian bookworm/main armhf po-debconf all 1.0.21+nmu1 [248 kB] Get: 49 http://deb.debian.org/debian bookworm/main armhf debhelper all 13.11.4 [942 kB] Get: 50 http://deb.debian.org/debian bookworm/main armhf xml-core all 0.18+nmu1 [23.8 kB] Get: 51 http://deb.debian.org/debian bookworm/main armhf docutils-common all 0.19+dfsg-6 [127 kB] Get: 52 http://deb.debian.org/debian bookworm/main armhf fonts-dejavu-core all 2.37-6 [1068 kB] Get: 53 http://deb.debian.org/debian bookworm/main armhf fontconfig-config armhf 2.14.1-4 [315 kB] Get: 54 http://deb.debian.org/debian bookworm/main armhf fonts-font-awesome all 5.0.10+really4.7.0~dfsg-4.1 [517 kB] Get: 55 http://deb.debian.org/debian bookworm/main armhf fonts-lmodern all 2.005-1 [4540 kB] Get: 56 http://deb.debian.org/debian bookworm/main armhf help2man armhf 1.49.3 [198 kB] Get: 57 http://deb.debian.org/debian bookworm/main armhf tex-common all 6.18 [32.5 kB] Get: 58 http://deb.debian.org/debian bookworm/main armhf libpaper1 armhf 1.1.29 [11.9 kB] Get: 59 http://deb.debian.org/debian bookworm/main armhf libpaper-utils armhf 1.1.29 [8400 B] Get: 60 http://deb.debian.org/debian bookworm/main armhf libkpathsea6 armhf 2022.20220321.62855-5 [142 kB] Get: 61 http://deb.debian.org/debian bookworm/main armhf libptexenc1 armhf 2022.20220321.62855-5 [40.1 kB] Get: 62 http://deb.debian.org/debian bookworm/main armhf libsynctex2 armhf 2022.20220321.62855-5 [46.4 kB] Get: 63 http://deb.debian.org/debian bookworm/main armhf libtexlua53-5 armhf 2022.20220321.62855-5 [80.4 kB] Get: 64 http://deb.debian.org/debian bookworm/main armhf libtexluajit2 armhf 2022.20220321.62855-5 [184 kB] Get: 65 http://deb.debian.org/debian bookworm/main armhf t1utils armhf 1.41-4 [54.7 kB] Get: 66 http://deb.debian.org/debian bookworm/main armhf libbrotli1 armhf 1.0.9-2+b6 [271 kB] Get: 67 http://deb.debian.org/debian bookworm/main armhf libpng16-16 armhf 1.6.39-2 [260 kB] Get: 68 http://deb.debian.org/debian bookworm/main armhf libfreetype6 armhf 2.12.1+dfsg-5 [332 kB] Get: 69 http://deb.debian.org/debian bookworm/main armhf libfontconfig1 armhf 2.14.1-4 [368 kB] Get: 70 http://deb.debian.org/debian bookworm/main armhf libpixman-1-0 armhf 0.42.2-1 [465 kB] Get: 71 http://deb.debian.org/debian bookworm/main armhf libxau6 armhf 1:1.0.9-1 [19.0 kB] Get: 72 http://deb.debian.org/debian bookworm/main armhf libbsd0 armhf 0.11.7-2 [113 kB] Get: 73 http://deb.debian.org/debian bookworm/main armhf libxdmcp6 armhf 1:1.1.2-3 [24.9 kB] Get: 74 http://deb.debian.org/debian bookworm/main armhf libxcb1 armhf 1.15-1 [140 kB] Get: 75 http://deb.debian.org/debian bookworm/main armhf libx11-data all 2:1.8.4-2 [292 kB] Get: 76 http://deb.debian.org/debian bookworm/main armhf libx11-6 armhf 2:1.8.4-2 [695 kB] Get: 77 http://deb.debian.org/debian bookworm/main armhf libxcb-render0 armhf 1.15-1 [114 kB] Get: 78 http://deb.debian.org/debian bookworm/main armhf libxcb-shm0 armhf 1.15-1 [106 kB] Get: 79 http://deb.debian.org/debian bookworm/main armhf libxext6 armhf 2:1.3.4-1+b1 [47.8 kB] Get: 80 http://deb.debian.org/debian bookworm/main armhf libxrender1 armhf 1:0.9.10-1.1 [30.1 kB] Get: 81 http://deb.debian.org/debian bookworm/main armhf libcairo2 armhf 1.16.0-7 [493 kB] Get: 82 http://deb.debian.org/debian bookworm/main armhf libgraphite2-3 armhf 1.3.14-1 [70.5 kB] Get: 83 http://deb.debian.org/debian bookworm/main armhf libglib2.0-0 armhf 2.74.6-2 [1227 kB] Get: 84 http://deb.debian.org/debian bookworm/main armhf libharfbuzz0b armhf 6.0.0+dfsg-3 [1893 kB] Get: 85 http://deb.debian.org/debian bookworm/main armhf libteckit0 armhf 2.5.11+ds1-1+b1 [257 kB] Get: 86 http://deb.debian.org/debian bookworm/main armhf x11-common all 1:7.7+23 [252 kB] Get: 87 http://deb.debian.org/debian bookworm/main armhf libice6 armhf 2:1.0.10-1 [51.9 kB] Get: 88 http://deb.debian.org/debian bookworm/main armhf libsm6 armhf 2:1.2.3-1 [33.0 kB] Get: 89 http://deb.debian.org/debian bookworm/main armhf libxt6 armhf 1:1.2.1-1.1 [157 kB] Get: 90 http://deb.debian.org/debian bookworm/main armhf libxmu6 armhf 2:1.1.3-3 [52.6 kB] Get: 91 http://deb.debian.org/debian bookworm/main armhf libxpm4 armhf 1:3.5.12-1.1 [42.3 kB] Get: 92 http://deb.debian.org/debian bookworm/main armhf libxaw7 armhf 2:1.0.14-1 [167 kB] Get: 93 http://deb.debian.org/debian bookworm/main armhf libxi6 armhf 2:1.8-1+b1 [78.6 kB] Get: 94 http://deb.debian.org/debian bookworm/main armhf libzzip-0-13 armhf 0.13.72+dfsg.1-1.1 [54.3 kB] Get: 95 http://deb.debian.org/debian bookworm/main armhf texlive-binaries armhf 2022.20220321.62855-5 [8103 kB] Get: 96 http://deb.debian.org/debian bookworm/main armhf xdg-utils all 1.1.3-4.1 [75.5 kB] Get: 97 http://deb.debian.org/debian bookworm/main armhf texlive-base all 2022.20230122-3 [21.9 MB] Get: 98 http://deb.debian.org/debian bookworm/main armhf texlive-latex-base all 2022.20230122-3 [1182 kB] Get: 99 http://deb.debian.org/debian bookworm/main armhf latexmk all 1:4.79-1 [198 kB] Get: 100 http://deb.debian.org/debian bookworm/main armhf libapache-pom-java all 29-2 [5276 B] Get: 101 http://deb.debian.org/debian bookworm/main armhf libcommons-parent-java all 56-1 [10.8 kB] Get: 102 http://deb.debian.org/debian bookworm/main armhf libcommons-logging-java all 1.2-3 [62.4 kB] Get: 103 http://deb.debian.org/debian bookworm/main armhf libfl2 armhf 2.6.4-8.1 [103 kB] Get: 104 http://deb.debian.org/debian bookworm/main armhf libfl-dev armhf 2.6.4-8.1 [104 kB] Get: 105 http://deb.debian.org/debian bookworm/main armhf libfontbox-java all 1:1.8.16-2 [211 kB] Get: 106 http://deb.debian.org/debian bookworm/main armhf libfontenc1 armhf 1:1.1.4-1 [21.9 kB] Get: 107 http://deb.debian.org/debian bookworm/main armhf libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB] Get: 108 http://deb.debian.org/debian bookworm/main armhf libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB] Get: 109 http://deb.debian.org/debian bookworm/main armhf libjs-sphinxdoc all 5.3.0-4 [130 kB] Get: 110 http://deb.debian.org/debian bookworm/main armhf libjson-perl all 4.10000-1 [87.5 kB] Get: 111 http://deb.debian.org/debian bookworm/main armhf libpdfbox-java all 1:1.8.16-2 [5205 kB] Get: 112 http://deb.debian.org/debian bookworm/main armhf preview-latex-style all 12.2-1 [201 kB] Get: 113 http://deb.debian.org/debian bookworm/main armhf python-babel-localedata all 2.10.3-1 [5615 kB] Get: 114 http://deb.debian.org/debian bookworm/main armhf python3-alabaster all 0.7.12-1 [20.8 kB] Get: 115 http://deb.debian.org/debian bookworm/main armhf python3-pkg-resources all 66.1.1-1 [296 kB] Get: 116 http://deb.debian.org/debian bookworm/main armhf python3-tz all 2022.7.1-3 [30.1 kB] Get: 117 http://deb.debian.org/debian bookworm/main armhf python3-babel all 2.10.3-1 [103 kB] Get: 118 http://deb.debian.org/debian bookworm/main armhf python3-certifi all 2022.9.24-1 [153 kB] Get: 119 http://deb.debian.org/debian bookworm/main armhf python3-chardet all 5.1.0+dfsg-2 [110 kB] Get: 120 http://deb.debian.org/debian bookworm/main armhf python3-charset-normalizer all 3.0.1-2 [49.3 kB] Get: 121 http://deb.debian.org/debian bookworm/main armhf python3-lib2to3 all 3.11.2-3 [76.3 kB] Get: 122 http://deb.debian.org/debian bookworm/main armhf python3-distutils all 3.11.2-3 [131 kB] Get: 123 http://deb.debian.org/debian bookworm/main armhf python3-roman all 3.3-3 [9880 B] Get: 124 http://deb.debian.org/debian bookworm/main armhf python3-docutils all 0.19+dfsg-6 [382 kB] Get: 125 http://deb.debian.org/debian bookworm/main armhf python3-idna all 3.3-1 [39.4 kB] Get: 126 http://deb.debian.org/debian bookworm/main armhf python3-imagesize all 1.4.1-1 [6688 B] Get: 127 http://deb.debian.org/debian bookworm/main armhf python3-markupsafe armhf 2.1.2-1+b1 [12.8 kB] Get: 128 http://deb.debian.org/debian bookworm/main armhf python3-jinja2 all 3.1.2-1 [119 kB] Get: 129 http://deb.debian.org/debian bookworm/main armhf python3-packaging all 23.0-1 [32.5 kB] Get: 130 http://deb.debian.org/debian bookworm/main armhf python3-pygments all 2.14.0+dfsg-1 [783 kB] Get: 131 http://deb.debian.org/debian bookworm/main armhf python3-six all 1.16.0-4 [17.5 kB] Get: 132 http://deb.debian.org/debian bookworm/main armhf python3-urllib3 all 1.26.12-1 [117 kB] Get: 133 http://deb.debian.org/debian bookworm/main armhf python3-requests all 2.28.1+dfsg-1 [67.9 kB] Get: 134 http://deb.debian.org/debian bookworm/main armhf python3-snowballstemmer all 2.2.0-2 [57.8 kB] Get: 135 http://deb.debian.org/debian bookworm/main armhf sphinx-common all 5.3.0-4 [653 kB] Get: 136 http://deb.debian.org/debian bookworm/main armhf python3-sphinx all 5.3.0-4 [549 kB] Get: 137 http://deb.debian.org/debian bookworm/main armhf sphinx-rtd-theme-common all 1.2.0+dfsg-1 [997 kB] Get: 138 http://deb.debian.org/debian bookworm/main armhf python3-sphinx-rtd-theme all 1.2.0+dfsg-1 [27.7 kB] Get: 139 http://deb.debian.org/debian bookworm/main armhf xfonts-encodings all 1:1.0.4-2.2 [577 kB] Get: 140 http://deb.debian.org/debian bookworm/main armhf xfonts-utils armhf 1:7.7+6 [84.5 kB] Get: 141 http://deb.debian.org/debian bookworm/main armhf tex-gyre all 20180621-6 [6209 kB] Get: 142 http://deb.debian.org/debian bookworm/main armhf texlive-latex-recommended all 2022.20230122-3 [8880 kB] Get: 143 http://deb.debian.org/debian bookworm/main armhf texlive-pictures all 2022.20230122-3 [15.8 MB] Get: 144 http://deb.debian.org/debian bookworm/main armhf texlive-latex-extra all 2022.20230122-4 [19.2 MB] Fetched 144 MB in 6s (24.3 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19329 files and directories currently installed.) Preparing to unpack .../0-m4_1.4.19-3_armhf.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package flex. Preparing to unpack .../1-flex_2.6.4-8.1_armhf.deb ... Unpacking flex (2.6.4-8.1) ... Selecting previously unselected package fonts-lato. Preparing to unpack .../2-fonts-lato_2.0-2.1_all.deb ... Unpacking fonts-lato (2.0-2.1) ... Selecting previously unselected package liblocale-gettext-perl. Preparing to unpack .../3-liblocale-gettext-perl_1.07-5_armhf.deb ... Unpacking liblocale-gettext-perl (1.07-5) ... Selecting previously unselected package libpython3.11-minimal:armhf. Preparing to unpack .../4-libpython3.11-minimal_3.11.2-6_armhf.deb ... Unpacking libpython3.11-minimal:armhf (3.11.2-6) ... Selecting previously unselected package libexpat1:armhf. Preparing to unpack .../5-libexpat1_2.5.0-1_armhf.deb ... Unpacking libexpat1:armhf (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../6-python3.11-minimal_3.11.2-6_armhf.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:armhf (3.11.2-6) ... Setting up libexpat1:armhf (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19867 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.2-1+b1_armhf.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package readline-common. Preparing to unpack .../2-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:armhf. Preparing to unpack .../3-libreadline8_8.2-1.3_armhf.deb ... Unpacking libreadline8:armhf (8.2-1.3) ... Selecting previously unselected package libpython3.11-stdlib:armhf. Preparing to unpack .../4-libpython3.11-stdlib_3.11.2-6_armhf.deb ... Unpacking libpython3.11-stdlib:armhf (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../5-python3.11_3.11.2-6_armhf.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:armhf. Preparing to unpack .../6-libpython3-stdlib_3.11.2-1+b1_armhf.deb ... Unpacking libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20301 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_armhf.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../001-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../002-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package openssl. Preparing to unpack .../003-openssl_3.0.8-1_armhf.deb ... Unpacking openssl (3.0.8-1) ... Selecting previously unselected package ca-certificates. Preparing to unpack .../004-ca-certificates_20230311_all.deb ... Unpacking ca-certificates (20230311) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../005-libmagic-mgc_1%3a5.44-3_armhf.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:armhf. Preparing to unpack .../006-libmagic1_1%3a5.44-3_armhf.deb ... Unpacking libmagic1:armhf (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../007-file_1%3a5.44-3_armhf.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../008-gettext-base_0.21-12_armhf.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:armhf. Preparing to unpack .../009-libuchardet0_0.0.7-1_armhf.deb ... Unpacking libuchardet0:armhf (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../010-groff-base_1.22.4-10_armhf.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../011-bsdextrautils_2.38.1-5+b1_armhf.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:armhf. Preparing to unpack .../012-libpipeline1_1.5.7-1_armhf.deb ... Unpacking libpipeline1:armhf (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../013-man-db_2.11.2-2_armhf.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package ucf. Preparing to unpack .../014-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package autoconf. Preparing to unpack .../015-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../016-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../017-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../018-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package bison. Preparing to unpack .../019-bison_2%3a3.8.2+dfsg-1+b1_armhf.deb ... Unpacking bison (2:3.8.2+dfsg-1+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../020-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../021-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../022-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../023-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../024-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../025-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../026-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:armhf. Preparing to unpack .../027-libelf1_0.188-2.1_armhf.deb ... Unpacking libelf1:armhf (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../028-dwz_0.15-1_armhf.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package libicu72:armhf. Preparing to unpack .../029-libicu72_72.1-3_armhf.deb ... Unpacking libicu72:armhf (72.1-3) ... Selecting previously unselected package libxml2:armhf. Preparing to unpack .../030-libxml2_2.9.14+dfsg-1.2_armhf.deb ... Unpacking libxml2:armhf (2.9.14+dfsg-1.2) ... Selecting previously unselected package gettext. Preparing to unpack .../031-gettext_0.21-12_armhf.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../032-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../033-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../034-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package xml-core. Preparing to unpack .../035-xml-core_0.18+nmu1_all.deb ... Unpacking xml-core (0.18+nmu1) ... Selecting previously unselected package docutils-common. Preparing to unpack .../036-docutils-common_0.19+dfsg-6_all.deb ... Unpacking docutils-common (0.19+dfsg-6) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../037-fonts-dejavu-core_2.37-6_all.deb ... Unpacking fonts-dejavu-core (2.37-6) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../038-fontconfig-config_2.14.1-4_armhf.deb ... Unpacking fontconfig-config (2.14.1-4) ... Selecting previously unselected package fonts-font-awesome. Preparing to unpack .../039-fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1_all.deb ... Unpacking fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../040-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package help2man. Preparing to unpack .../041-help2man_1.49.3_armhf.deb ... Unpacking help2man (1.49.3) ... Selecting previously unselected package tex-common. Preparing to unpack .../042-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package libpaper1:armhf. Preparing to unpack .../043-libpaper1_1.1.29_armhf.deb ... Unpacking libpaper1:armhf (1.1.29) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../044-libpaper-utils_1.1.29_armhf.deb ... Unpacking libpaper-utils (1.1.29) ... Selecting previously unselected package libkpathsea6:armhf. Preparing to unpack .../045-libkpathsea6_2022.20220321.62855-5_armhf.deb ... Unpacking libkpathsea6:armhf (2022.20220321.62855-5) ... Selecting previously unselected package libptexenc1:armhf. Preparing to unpack .../046-libptexenc1_2022.20220321.62855-5_armhf.deb ... Unpacking libptexenc1:armhf (2022.20220321.62855-5) ... Selecting previously unselected package libsynctex2:armhf. Preparing to unpack .../047-libsynctex2_2022.20220321.62855-5_armhf.deb ... Unpacking libsynctex2:armhf (2022.20220321.62855-5) ... Selecting previously unselected package libtexlua53-5:armhf. Preparing to unpack .../048-libtexlua53-5_2022.20220321.62855-5_armhf.deb ... Unpacking libtexlua53-5:armhf (2022.20220321.62855-5) ... Selecting previously unselected package libtexluajit2:armhf. Preparing to unpack .../049-libtexluajit2_2022.20220321.62855-5_armhf.deb ... Unpacking libtexluajit2:armhf (2022.20220321.62855-5) ... Selecting previously unselected package t1utils. Preparing to unpack .../050-t1utils_1.41-4_armhf.deb ... Unpacking t1utils (1.41-4) ... Selecting previously unselected package libbrotli1:armhf. Preparing to unpack .../051-libbrotli1_1.0.9-2+b6_armhf.deb ... Unpacking libbrotli1:armhf (1.0.9-2+b6) ... Selecting previously unselected package libpng16-16:armhf. Preparing to unpack .../052-libpng16-16_1.6.39-2_armhf.deb ... Unpacking libpng16-16:armhf (1.6.39-2) ... Selecting previously unselected package libfreetype6:armhf. Preparing to unpack .../053-libfreetype6_2.12.1+dfsg-5_armhf.deb ... Unpacking libfreetype6:armhf (2.12.1+dfsg-5) ... Selecting previously unselected package libfontconfig1:armhf. Preparing to unpack .../054-libfontconfig1_2.14.1-4_armhf.deb ... Unpacking libfontconfig1:armhf (2.14.1-4) ... Selecting previously unselected package libpixman-1-0:armhf. Preparing to unpack .../055-libpixman-1-0_0.42.2-1_armhf.deb ... Unpacking libpixman-1-0:armhf (0.42.2-1) ... Selecting previously unselected package libxau6:armhf. Preparing to unpack .../056-libxau6_1%3a1.0.9-1_armhf.deb ... Unpacking libxau6:armhf (1:1.0.9-1) ... Selecting previously unselected package libbsd0:armhf. Preparing to unpack .../057-libbsd0_0.11.7-2_armhf.deb ... Unpacking libbsd0:armhf (0.11.7-2) ... Selecting previously unselected package libxdmcp6:armhf. Preparing to unpack .../058-libxdmcp6_1%3a1.1.2-3_armhf.deb ... Unpacking libxdmcp6:armhf (1:1.1.2-3) ... Selecting previously unselected package libxcb1:armhf. Preparing to unpack .../059-libxcb1_1.15-1_armhf.deb ... Unpacking libxcb1:armhf (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../060-libx11-data_2%3a1.8.4-2_all.deb ... Unpacking libx11-data (2:1.8.4-2) ... Selecting previously unselected package libx11-6:armhf. Preparing to unpack .../061-libx11-6_2%3a1.8.4-2_armhf.deb ... Unpacking libx11-6:armhf (2:1.8.4-2) ... Selecting previously unselected package libxcb-render0:armhf. Preparing to unpack .../062-libxcb-render0_1.15-1_armhf.deb ... Unpacking libxcb-render0:armhf (1.15-1) ... Selecting previously unselected package libxcb-shm0:armhf. Preparing to unpack .../063-libxcb-shm0_1.15-1_armhf.deb ... Unpacking libxcb-shm0:armhf (1.15-1) ... Selecting previously unselected package libxext6:armhf. Preparing to unpack .../064-libxext6_2%3a1.3.4-1+b1_armhf.deb ... Unpacking libxext6:armhf (2:1.3.4-1+b1) ... Selecting previously unselected package libxrender1:armhf. Preparing to unpack .../065-libxrender1_1%3a0.9.10-1.1_armhf.deb ... Unpacking libxrender1:armhf (1:0.9.10-1.1) ... Selecting previously unselected package libcairo2:armhf. Preparing to unpack .../066-libcairo2_1.16.0-7_armhf.deb ... Unpacking libcairo2:armhf (1.16.0-7) ... Selecting previously unselected package libgraphite2-3:armhf. Preparing to unpack .../067-libgraphite2-3_1.3.14-1_armhf.deb ... Unpacking libgraphite2-3:armhf (1.3.14-1) ... Selecting previously unselected package libglib2.0-0:armhf. Preparing to unpack .../068-libglib2.0-0_2.74.6-2_armhf.deb ... Unpacking libglib2.0-0:armhf (2.74.6-2) ... Selecting previously unselected package libharfbuzz0b:armhf. Preparing to unpack .../069-libharfbuzz0b_6.0.0+dfsg-3_armhf.deb ... Unpacking libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Selecting previously unselected package libteckit0:armhf. Preparing to unpack .../070-libteckit0_2.5.11+ds1-1+b1_armhf.deb ... Unpacking libteckit0:armhf (2.5.11+ds1-1+b1) ... Selecting previously unselected package x11-common. Preparing to unpack .../071-x11-common_1%3a7.7+23_all.deb ... Unpacking x11-common (1:7.7+23) ... Selecting previously unselected package libice6:armhf. Preparing to unpack .../072-libice6_2%3a1.0.10-1_armhf.deb ... Unpacking libice6:armhf (2:1.0.10-1) ... Selecting previously unselected package libsm6:armhf. Preparing to unpack .../073-libsm6_2%3a1.2.3-1_armhf.deb ... Unpacking libsm6:armhf (2:1.2.3-1) ... Selecting previously unselected package libxt6:armhf. Preparing to unpack .../074-libxt6_1%3a1.2.1-1.1_armhf.deb ... Unpacking libxt6:armhf (1:1.2.1-1.1) ... Selecting previously unselected package libxmu6:armhf. Preparing to unpack .../075-libxmu6_2%3a1.1.3-3_armhf.deb ... Unpacking libxmu6:armhf (2:1.1.3-3) ... Selecting previously unselected package libxpm4:armhf. Preparing to unpack .../076-libxpm4_1%3a3.5.12-1.1_armhf.deb ... Unpacking libxpm4:armhf (1:3.5.12-1.1) ... Selecting previously unselected package libxaw7:armhf. Preparing to unpack .../077-libxaw7_2%3a1.0.14-1_armhf.deb ... Unpacking libxaw7:armhf (2:1.0.14-1) ... Selecting previously unselected package libxi6:armhf. Preparing to unpack .../078-libxi6_2%3a1.8-1+b1_armhf.deb ... Unpacking libxi6:armhf (2:1.8-1+b1) ... Selecting previously unselected package libzzip-0-13:armhf. Preparing to unpack .../079-libzzip-0-13_0.13.72+dfsg.1-1.1_armhf.deb ... Unpacking libzzip-0-13:armhf (0.13.72+dfsg.1-1.1) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../080-texlive-binaries_2022.20220321.62855-5_armhf.deb ... Unpacking texlive-binaries (2022.20220321.62855-5) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../081-xdg-utils_1.1.3-4.1_all.deb ... Unpacking xdg-utils (1.1.3-4.1) ... Selecting previously unselected package texlive-base. Preparing to unpack .../082-texlive-base_2022.20230122-3_all.deb ... Unpacking texlive-base (2022.20230122-3) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../083-texlive-latex-base_2022.20230122-3_all.deb ... Unpacking texlive-latex-base (2022.20230122-3) ... Selecting previously unselected package latexmk. Preparing to unpack .../084-latexmk_1%3a4.79-1_all.deb ... Unpacking latexmk (1:4.79-1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../085-libapache-pom-java_29-2_all.deb ... Unpacking libapache-pom-java (29-2) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../086-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../087-libcommons-logging-java_1.2-3_all.deb ... Unpacking libcommons-logging-java (1.2-3) ... Selecting previously unselected package libfl2:armhf. Preparing to unpack .../088-libfl2_2.6.4-8.1_armhf.deb ... Unpacking libfl2:armhf (2.6.4-8.1) ... Selecting previously unselected package libfl-dev:armhf. Preparing to unpack .../089-libfl-dev_2.6.4-8.1_armhf.deb ... Unpacking libfl-dev:armhf (2.6.4-8.1) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../090-libfontbox-java_1%3a1.8.16-2_all.deb ... Unpacking libfontbox-java (1:1.8.16-2) ... Selecting previously unselected package libfontenc1:armhf. Preparing to unpack .../091-libfontenc1_1%3a1.1.4-1_armhf.deb ... Unpacking libfontenc1:armhf (1:1.1.4-1) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../092-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../093-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../094-libjs-sphinxdoc_5.3.0-4_all.deb ... Unpacking libjs-sphinxdoc (5.3.0-4) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../095-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../096-libpdfbox-java_1%3a1.8.16-2_all.deb ... Unpacking libpdfbox-java (1:1.8.16-2) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../097-preview-latex-style_12.2-1_all.deb ... Unpacking preview-latex-style (12.2-1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../098-python-babel-localedata_2.10.3-1_all.deb ... Unpacking python-babel-localedata (2.10.3-1) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../099-python3-alabaster_0.7.12-1_all.deb ... Unpacking python3-alabaster (0.7.12-1) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../100-python3-pkg-resources_66.1.1-1_all.deb ... Unpacking python3-pkg-resources (66.1.1-1) ... Selecting previously unselected package python3-tz. Preparing to unpack .../101-python3-tz_2022.7.1-3_all.deb ... Unpacking python3-tz (2022.7.1-3) ... Selecting previously unselected package python3-babel. Preparing to unpack .../102-python3-babel_2.10.3-1_all.deb ... Unpacking python3-babel (2.10.3-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../103-python3-certifi_2022.9.24-1_all.deb ... Unpacking python3-certifi (2022.9.24-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../104-python3-chardet_5.1.0+dfsg-2_all.deb ... Unpacking python3-chardet (5.1.0+dfsg-2) ... Selecting previously unselected package python3-charset-normalizer. Preparing to unpack .../105-python3-charset-normalizer_3.0.1-2_all.deb ... Unpacking python3-charset-normalizer (3.0.1-2) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../106-python3-lib2to3_3.11.2-3_all.deb ... Unpacking python3-lib2to3 (3.11.2-3) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../107-python3-distutils_3.11.2-3_all.deb ... Unpacking python3-distutils (3.11.2-3) ... Selecting previously unselected package python3-roman. Preparing to unpack .../108-python3-roman_3.3-3_all.deb ... Unpacking python3-roman (3.3-3) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../109-python3-docutils_0.19+dfsg-6_all.deb ... Unpacking python3-docutils (0.19+dfsg-6) ... Selecting previously unselected package python3-idna. Preparing to unpack .../110-python3-idna_3.3-1_all.deb ... Unpacking python3-idna (3.3-1) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../111-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../112-python3-markupsafe_2.1.2-1+b1_armhf.deb ... Unpacking python3-markupsafe (2.1.2-1+b1) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../113-python3-jinja2_3.1.2-1_all.deb ... Unpacking python3-jinja2 (3.1.2-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../114-python3-packaging_23.0-1_all.deb ... Unpacking python3-packaging (23.0-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../115-python3-pygments_2.14.0+dfsg-1_all.deb ... Unpacking python3-pygments (2.14.0+dfsg-1) ... Selecting previously unselected package python3-six. Preparing to unpack .../116-python3-six_1.16.0-4_all.deb ... Unpacking python3-six (1.16.0-4) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../117-python3-urllib3_1.26.12-1_all.deb ... Unpacking python3-urllib3 (1.26.12-1) ... Selecting previously unselected package python3-requests. Preparing to unpack .../118-python3-requests_2.28.1+dfsg-1_all.deb ... Unpacking python3-requests (2.28.1+dfsg-1) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../119-python3-snowballstemmer_2.2.0-2_all.deb ... Unpacking python3-snowballstemmer (2.2.0-2) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../120-sphinx-common_5.3.0-4_all.deb ... Unpacking sphinx-common (5.3.0-4) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../121-python3-sphinx_5.3.0-4_all.deb ... Unpacking python3-sphinx (5.3.0-4) ... Selecting previously unselected package sphinx-rtd-theme-common. Preparing to unpack .../122-sphinx-rtd-theme-common_1.2.0+dfsg-1_all.deb ... Unpacking sphinx-rtd-theme-common (1.2.0+dfsg-1) ... Selecting previously unselected package python3-sphinx-rtd-theme. Preparing to unpack .../123-python3-sphinx-rtd-theme_1.2.0+dfsg-1_all.deb ... Unpacking python3-sphinx-rtd-theme (1.2.0+dfsg-1) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../124-xfonts-encodings_1%3a1.0.4-2.2_all.deb ... Unpacking xfonts-encodings (1:1.0.4-2.2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../125-xfonts-utils_1%3a7.7+6_armhf.deb ... Unpacking xfonts-utils (1:7.7+6) ... Selecting previously unselected package tex-gyre. Preparing to unpack .../126-tex-gyre_20180621-6_all.deb ... Unpacking tex-gyre (20180621-6) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../127-texlive-latex-recommended_2022.20230122-3_all.deb ... Unpacking texlive-latex-recommended (2022.20230122-3) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../128-texlive-pictures_2022.20230122-3_all.deb ... Unpacking texlive-pictures (2022.20230122-3) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../129-texlive-latex-extra_2022.20230122-4_all.deb ... Unpacking texlive-latex-extra (2022.20230122-4) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:armhf (1.5.7-1) ... Setting up libgraphite2-3:armhf (1.3.14-1) ... Setting up libpixman-1-0:armhf (0.42.2-1) ... Setting up libxau6:armhf (1:1.0.9-1) ... Setting up fonts-lato (2.0-2.1) ... Setting up libicu72:armhf (72.1-3) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:armhf (2.74.6-2) ... No schema files found: doing nothing. Setting up libtexluajit2:armhf (2022.20220321.62855-5) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:armhf (1.0.9-2+b6) ... Setting up libfontbox-java (1:1.8.16-2) ... Setting up x11-common (1:7.7+23) ... invoke-rc.d: could not determine current runlevel Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libmagic1:armhf (1:5.44-3) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up libzzip-0-13:armhf (0.13.72+dfsg.1-1.1) ... Setting up file (1:5.44-3) ... Setting up python-babel-localedata (2.10.3-1) ... Setting up libfontenc1:armhf (1:1.1.4-1) ... Setting up autotools-dev (20220109.1) ... Setting up libx11-data (2:1.8.4-2) ... Setting up libteckit0:armhf (2.5.11+ds1-1+b1) ... Setting up libapache-pom-java (29-2) ... Setting up xfonts-encodings (1:1.0.4-2.2) ... Setting up t1utils (1.41-4) ... Setting up libtexlua53-5:armhf (2022.20220321.62855-5) ... Setting up libpng16-16:armhf (1.6.39-2) ... Setting up autopoint (0.21-12) ... Setting up fonts-dejavu-core (2.37-6) ... Setting up libfl2:armhf (2.6.4-8.1) ... Setting up libkpathsea6:armhf (2022.20220321.62855-5) ... Setting up autoconf (2.71-3) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libuchardet0:armhf (0.0.7-1) ... Setting up libjson-perl (4.10000-1) ... Setting up bison (2:3.8.2+dfsg-1+b1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up fonts-lmodern (2.005-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up sgml-base (1.31) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up openssl (3.0.8-1) ... Setting up libbsd0:armhf (0.11.7-2) ... Setting up libelf1:armhf (0.188-2.1) ... Setting up readline-common (8.2-1.3) ... Setting up libxml2:armhf (2.9.14+dfsg-1.2) ... Setting up fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Setting up sphinx-rtd-theme-common (1.2.0+dfsg-1) ... Setting up xdg-utils (1.1.3-4.1) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up liblocale-gettext-perl (1.07-5) ... Setting up libsynctex2:armhf (2022.20220321.62855-5) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libice6:armhf (2:1.0.10-1) ... Setting up libxdmcp6:armhf (1:1.1.2-3) ... Setting up flex (2.6.4-8.1) ... Setting up libxcb1:armhf (1.15-1) ... Setting up gettext (0.21-12) ... Setting up libpdfbox-java (1:1.8.16-2) ... Setting up libtool (2.4.7-5) ... Setting up libxcb-render0:armhf (1.15-1) ... Setting up fontconfig-config (2.14.1-4) ... Setting up libreadline8:armhf (8.2-1.3) ... Setting up libcommons-parent-java (56-1) ... Setting up libcommons-logging-java (1.2-3) ... Setting up libxcb-shm0:armhf (1.15-1) ... Setting up libfl-dev:armhf (2.6.4-8.1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up help2man (1.49.3) ... Setting up dh-autoreconf (20) ... Setting up ca-certificates (20230311) ... Updating certificates in /etc/ssl/certs... 140 added, 0 removed; done. Setting up libptexenc1:armhf (2022.20220321.62855-5) ... Setting up libfreetype6:armhf (2.12.1+dfsg-5) ... Setting up ucf (3.0043+nmu1) ... Setting up libjs-sphinxdoc (5.3.0-4) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up xml-core (0.18+nmu1) ... Setting up libx11-6:armhf (2:1.8.4-2) ... Setting up libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Setting up libfontconfig1:armhf (2.14.1-4) ... Setting up libsm6:armhf (2:1.2.3-1) ... Setting up libpaper1:armhf (1.1.29) ... Creating config file /etc/papersize with new version Setting up libxpm4:armhf (1:3.5.12-1.1) ... Setting up libxrender1:armhf (1:0.9.10-1.1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libpython3.11-stdlib:armhf (3.11.2-6) ... Setting up libxext6:armhf (2:1.3.4-1+b1) ... Setting up libpaper-utils (1.1.29) ... Setting up xfonts-utils (1:7.7+6) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libcairo2:armhf (1.16.0-7) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up sphinx-common (5.3.0-4) ... Setting up libxt6:armhf (1:1.2.1-1.1) ... Setting up tex-gyre (20180621-6) ... Setting up libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up python3.11 (3.11.2-6) ... Setting up libxmu6:armhf (2:1.1.3-3) ... Setting up libxi6:armhf (2:1.8-1+b1) ... Setting up preview-latex-style (12.2-1) ... Setting up debhelper (13.11.4) ... Setting up python3 (3.11.2-1+b1) ... Setting up libxaw7:armhf (2:1.0.14-1) ... Setting up python3-markupsafe (2.1.2-1+b1) ... Setting up python3-tz (2022.7.1-3) ... Setting up python3-six (1.16.0-4) ... Setting up python3-roman (3.3-3) ... Setting up python3-jinja2 (3.1.2-1) ... Setting up python3-packaging (23.0-1) ... Setting up python3-certifi (2022.9.24-1) ... Setting up python3-snowballstemmer (2.2.0-2) ... Setting up python3-idna (3.3-1) ... Setting up python3-urllib3 (1.26.12-1) ... Setting up texlive-binaries (2022.20220321.62855-5) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up python3-lib2to3 (3.11.2-3) ... Setting up texlive-base (2022.20230122-3) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up python3-imagesize (1.4.1-1) ... Setting up python3-pkg-resources (66.1.1-1) ... Setting up python3-distutils (3.11.2-3) ... Setting up python3-babel (2.10.3-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-charset-normalizer (3.0.1-2) ... Setting up python3-alabaster (0.7.12-1) ... Setting up python3-pygments (2.14.0+dfsg-1) ... Setting up python3-chardet (5.1.0+dfsg-2) ... Setting up texlive-latex-base (2022.20230122-3) ... Setting up texlive-latex-recommended (2022.20230122-3) ... Setting up python3-requests (2.28.1+dfsg-1) ... Setting up texlive-pictures (2022.20230122-3) ... Setting up latexmk (1:4.79-1) ... Setting up texlive-latex-extra (2022.20230122-4) ... Processing triggers for libc-bin (2.36-9) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.19+dfsg-6) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.19+dfsg-6) ... Setting up python3-sphinx (5.3.0-4) ... Setting up python3-sphinx-rtd-theme (1.2.0+dfsg-1) ... Processing triggers for ca-certificates (20230311) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. Processing triggers for tex-common (6.18) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps Reading package lists... Building dependency tree... Reading state information... usrmerge is already the newest version (35). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package I: user script /srv/workspace/pbuilder/22641/tmp/hooks/A99_set_merged_usr starting Re-configuring usrmerge... removed '/etc/unsupported-skip-usrmerge-conversion' The system has been successfully converted. I: user script /srv/workspace/pbuilder/22641/tmp/hooks/A99_set_merged_usr finished hostname: Name or service not known I: Running cd /build/verilator-5.006/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../verilator_5.006-3_source.changes dpkg-buildpackage: info: source package verilator dpkg-buildpackage: info: source version 5.006-3 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Carsten Schoenert dpkg-source --before-build . dpkg-buildpackage: info: host architecture armhf debian/rules clean dh clean debian/rules override_dh_clean make[1]: Entering directory '/build/verilator-5.006' dh_clean # Reset src/config_rev into the original state if needed. if [ -f debian/config_rev.save ]; then \ cp -f debian/config_rev.save src/config_rev ;\ fi rm -rf config.log configure docs/_build src/config_rev.h verilator-config.cmake verilator-config-version.cmake verilator.pc make[1]: Leaving directory '/build/verilator-5.006' debian/rules binary dh binary dh_update_autotools_config debian/rules override_dh_autoreconf make[1]: Entering directory '/build/verilator-5.006' # Work around we need to keep the original files for idempotency in case # we run dh_clean. The file isn't generated from "§SOMETHING". if [ ! -f debian/config_rev.save ]; then \ cp src/config_rev debian/config_rev.save ;\ fi # We don't build on top of a working git tree and the build system # can't find a git revision. Adding a Debian version to the final # string instead. sed -i 's/UNKNOWN_REV/(Debian 5.006-3)/g' src/config_rev autoconf make[1]: Leaving directory '/build/verilator-5.006' dh_auto_configure ./configure --build=arm-linux-gnueabihf --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/arm-linux-gnueabihf --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking configuring for Verilator 5.006 2023-01-22 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... none needed checking for g++... g++ checking whether the compiler supports GNU C++... yes checking whether g++ accepts -g... yes checking for g++ option to enable C++11 features... none needed checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (Debian 12.2.0-14) 12.2.0 checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.8.2 checking for ccache... no checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -pg... yes checking whether g++ accepts -std=gnu++17... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -fcoroutines-ts... no checking whether coroutines are supported by g++... yes checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -Og... yes checking whether g++ accepts -ggdb... yes checking whether g++ accepts -gz... yes checking whether g++ linker accepts -gz... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... yes checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports C++11... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h Now type 'make' (or sometimes 'gmake') to build Verilator. debian/rules override_dh_auto_build make[1]: Entering directory '/build/verilator-5.006' dh_auto_build make -j4 make[2]: Entering directory '/build/verilator-5.006' pod2man bin/verilator verilator.1 ------------------------------------------------------------ pod2man bin/verilator_coverage verilator_coverage.1 help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 making verilator in src make -C src make[3]: Entering directory '/build/verilator-5.006/src' mkdir -p obj_dbg /usr/bin/python3 ./config_rev . >config_rev.h /bin/sh: line 1: git: command not found /bin/sh: line 1: git: command not found mkdir -p obj_opt make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[4]: Entering directory '/build/verilator-5.006/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/build/verilator-5.006/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes touch vlcovgen.d make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y In file included from /usr/include/c++/12/vector:70, from ../V3String.h:31, from ../V3Error.h:24, from ../VlcMain.cpp:28: /usr/include/c++/12/bits/vector.tcc: In member function 'void std::__cxx1998::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const VlcPoint&}; _Tp = VlcPoint; _Alloc = std::allocator]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::__cxx1998::vector >::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/vector:64: /usr/include/c++/12/bits/stl_vector.h: In member function 'void std::__cxx1998::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = VlcPoint; _Alloc = std::allocator]': /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator > >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-z,relro -Wl,-z,now -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[4]: Leaving directory '/build/verilator-5.006/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[4]: Entering directory '/build/verilator-5.006/src/obj_opt' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o In file included from /usr/include/c++/12/map:60, from ../V3String.h:26, from ../V3Error.h:24, from ../V3Ast.h:24, from ../V3AstNodes.cpp:20: /usr/include/c++/12/bits/stl_tree.h: In member function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_emplace_hint_unique(const_iterator, _Args&& ...) [with _Args = {long long unsigned int&, AstInitItem* const&}; _Key = long long unsigned int; _Val = std::pair; _KeyOfValue = std::_Select1st >; _Compare = std::less; _Alloc = std::allocator >]': /usr/include/c++/12/bits/stl_tree.h:2457:7: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 2457 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/map:61: In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::iterator std::map<_Key, _Tp, _Compare, _Alloc>::emplace_hint(const_iterator, _Args&& ...) [with _Args = {long long unsigned int&, AstInitItem* const&}; _Key = long long unsigned int; _Tp = AstInitItem*; _Compare = std::less; _Alloc = std::allocator >]', inlined from 'std::pair, std::_Select1st >, _Compare, typename __gnu_cxx::__alloc_traits<_Alloc>::rebind >::other>::iterator, bool> std::map<_Key, _Tp, _Compare, _Alloc>::emplace(_Args&& ...) [with _Args = {long long unsigned int&, AstInitItem* const&}; _Key = long long unsigned int; _Tp = AstInitItem*; _Compare = std::less; _Alloc = std::allocator >]' at /usr/include/c++/12/bits/stl_map.h:599:22, inlined from 'void AstInitArray::addIndexValuep(uint64_t, AstNodeExpr*)' at ../V3AstNodes.cpp:1592:22: /usr/include/c++/12/bits/stl_map.h:638:45: note: parameter passing for argument of type 'std::map::const_iterator' {aka 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator'} changed in GCC 7.1 638 | return _M_t._M_emplace_hint_unique(__pos, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ 639 | std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o In file included from /usr/include/c++/12/debug/map.h:34, from /usr/include/c++/12/debug/map:43, from /usr/include/c++/12/map:67, from ../V3String.h:26, from ../V3Error.h:24, from ../V3File.h:23, from ../V3File.cpp:20: /usr/include/c++/12/debug/safe_iterator.h: In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, _Category>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set; _Category = std::forward_iterator_tag]': /usr/include/c++/12/debug/safe_iterator.h:162:7: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 162 | _Safe_iterator(_Iterator __i, const _Safe_sequence_base* __seq) | ^~~~~~~~~~~~~~ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::__debug::set<_Key, _Cmp, _Allocator>::iterator std::__debug::set<_Key, _Cmp, _Allocator>::begin() [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:174:45: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::__debug::set<_Key, _Cmp, _Allocator>::iterator std::__debug::set<_Key, _Cmp, _Allocator>::end() [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:182:43: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::__debug::set<_Key, _Cmp, _Allocator>::const_iterator std::__debug::set<_Key, _Cmp, _Allocator>::begin() const [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:178:51: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::__debug::set<_Key, _Cmp, _Allocator>::const_iterator std::__debug::set<_Key, _Cmp, _Allocator>::end() const [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:186:49: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::pair<__gnu_debug::_Safe_iterator::iterator, std::__debug::set<_Key, _Cmp, _Allocator> >, bool> std::__debug::set<_Key, _Cmp, _Allocator>::insert(const value_type&) [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:254:35: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = std::_Rb_tree_const_iterator; _Sequence = std::__debug::set]', inlined from 'std::pair<__gnu_debug::_Safe_iterator::iterator, std::__debug::set<_Key, _Cmp, _Allocator> >, bool> std::__debug::set<_Key, _Cmp, _Allocator>::insert(value_type&&) [with _Key = V3FileDependImp::DependFile; _Compare = std::less; _Allocator = std::allocator]' at /usr/include/c++/12/debug/set.h:263:47: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type 'std::_Rb_tree_const_iterator' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Common.cpp -o V3Common.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o In file included from /usr/include/c++/12/unordered_map:47, from ../V3String.h:30, from ../V3Error.h:24, from ../V3Graph.h:23, from ../V3Partition.h:23, from ../V3Partition.cpp:20: /usr/include/c++/12/bits/unordered_map.h: In constructor 'std::__cxx1998::unordered_map<_Key, _Tp, _Hash, _Pred, _Alloc>::unordered_map(std::initializer_list, _Alloc, std::__detail::_Select1st, _Pred, _Hash, std::__detail::_Mod_range_hashing, std::__detail::_Default_ranged_hash, std::__detail::_Prime_rehash_policy, std::__detail::_Hashtable_traits, std::__is_nothrow_invocable > >::value, false, true> >::value_type>, size_type, const hasher&, const key_equal&, const allocator_type&) [with _Key = unsigned int; _Tp = std::pair; _Hash = std::hash; _Pred = std::equal_to; _Alloc = std::allocator > >]': /usr/include/c++/12/bits/unordered_map.h:227:7: note: parameter passing for argument of type 'std::initializer_list > >' changed in GCC 7.1 227 | unordered_map(initializer_list __l, | ^~~~~~~~~~~~~ In file included from /usr/include/c++/12/unordered_map:52: /usr/include/c++/12/debug/unordered_map: In constructor 'std::__debug::unordered_map<_Key, _Tp, _Hash, _Pred, _Allocator>::unordered_map(std::initializer_list::value_type>, size_type, const hasher&, const key_equal&, const allocator_type&) [with _Key = unsigned int; _Tp = std::pair; _Hash = std::hash; _Pred = std::equal_to; _Alloc = std::allocator > >]': /usr/include/c++/12/debug/unordered_map:157:7: note: parameter passing for argument of type 'std::initializer_list > >' changed in GCC 7.1 157 | unordered_map(initializer_list __l, | ^~~~~~~~~~~~~ /usr/include/c++/12/debug/unordered_map:162:41: note: parameter passing for argument of type 'std::initializer_list > >' changed in GCC 7.1 162 | : _Base(__l, __n, __hf, __eql, __a) { } | ^ ../V3Partition.cpp: In static member function 'static void V3Partition::selfTestNormalizeCosts()': ../V3Partition.cpp:2899:38: note: parameter passing for argument of type 'std::initializer_list > >' changed in GCC 7.1 2899 | {3, {30, 3000}}}); | ^ ../V3Partition.cpp:2912:35: note: parameter passing for argument of type 'std::initializer_list > >' changed in GCC 7.1 2912 | {3, {30, 1}}}); // Make sure doesn't underflow | ^ g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o In file included from /usr/include/c++/12/debug/map.h:34, from /usr/include/c++/12/debug/map:43, from /usr/include/c++/12/map:67, from ../V3String.h:26, from ../V3Error.h:24, from ../V3Ast.h:24, from ../V3StatsReport.cpp:20: /usr/include/c++/12/debug/safe_iterator.h: In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, _Category>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = __gnu_cxx::__normal_iterator > >; _Sequence = std::__debug::vector; _Category = std::forward_iterator_tag]': /usr/include/c++/12/debug/safe_iterator.h:162:7: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator > >' changed in GCC 7.1 162 | _Safe_iterator(_Iterator __i, const _Safe_sequence_base* __seq) | ^~~~~~~~~~~~~~ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = __gnu_cxx::__normal_iterator > >; _Sequence = std::__debug::vector]', inlined from '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::random_access_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = __gnu_cxx::__normal_iterator > >; _Sequence = std::__debug::vector]' at /usr/include/c++/12/debug/safe_iterator.h:687:30, inlined from 'std::__debug::vector<_Tp, _Allocator>::iterator std::__debug::vector<_Tp, _Allocator>::begin() [with _Tp = V3Statistic; _Allocator = std::allocator]' at /usr/include/c++/12/debug/vector:300:45: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator > >' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In constructor '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::bidirectional_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = __gnu_cxx::__normal_iterator > >; _Sequence = std::__debug::vector]', inlined from '__gnu_debug::_Safe_iterator<_Iterator, _Sequence, std::random_access_iterator_tag>::_Safe_iterator(_Iterator, const __gnu_debug::_Safe_sequence_base*) [with _Iterator = __gnu_cxx::__normal_iterator > >; _Sequence = std::__debug::vector]' at /usr/include/c++/12/debug/safe_iterator.h:687:30, inlined from 'std::__debug::vector<_Tp, _Allocator>::iterator std::__debug::vector<_Tp, _Allocator>::end() [with _Tp = V3Statistic; _Allocator = std::allocator]' at /usr/include/c++/12/debug/vector:310:43: /usr/include/c++/12/debug/safe_iterator.h:538:30: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator > >' changed in GCC 7.1 538 | : _Safe_base(__i, __seq) | ^ In file included from /usr/include/c++/12/vector:70, from ../V3String.h:31: /usr/include/c++/12/bits/vector.tcc: In member function 'void std::__cxx1998::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const V3Statistic&}; _Tp = V3Statistic; _Alloc = std::allocator]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::__cxx1998::vector >::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/vector:64: /usr/include/c++/12/bits/stl_vector.h: In member function 'void std::__cxx1998::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = V3Statistic; _Alloc = std::allocator]': /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator > >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dfg.cpp -o V3Dfg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Timing.cpp -o V3Timing.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPasses.cpp -o V3DfgPasses.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DupFinder.cpp -o V3DupFinder.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCBase.cpp -o V3EmitCBase.o Linking ../../bin/verilator_bin_dbg... g++ -Wl,-z,relro -Wl,-z,now -Wl,-z,relro -Wl,-z,now -gz -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3Global.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3Partition.o V3PreProc.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o -lpthread -lm g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCImp.cpp -o V3EmitCImp.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCModel.cpp -o V3EmitCModel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Force.cpp -o V3Force.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hasher.cpp -o V3Hasher.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3HierBlock.cpp -o V3HierBlock.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Randomize.cpp -o V3Randomize.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Sched.cpp -o V3Sched.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedPartition.cpp -o V3SchedPartition.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SchedTiming.cpp -o V3SchedTiming.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o In file included from /usr/include/c++/12/vector:70, from ../V3String.h:31, from ../V3Error.h:24, from ../V3Ast.h:24, from ../V3StatsReport.cpp:20: /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const V3Statistic&}; _Tp = V3Statistic; _Alloc = std::allocator]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/vector:64: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = V3Statistic; _Alloc = std::allocator]', inlined from 'static void StatsReport::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:176:72, inlined from 'static void V3Stats::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:205:70: /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = V3Statistic; _Alloc = std::allocator]', inlined from 'static void StatsReport::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:176:72, inlined from 'static void V3Stats::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:205:70, inlined from 'static void V3Stats::addStatPerf(const string&, double)' at ../V3Stats.h:115:16, inlined from 'static void V3Stats::statsStage(const string&)' at ../V3StatsReport.cpp:217:25: /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = V3Statistic; _Alloc = std::allocator]', inlined from 'static void StatsReport::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:176:72, inlined from 'static void V3Stats::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:205:70, inlined from 'static void V3Stats::addStatPerf(const string&, double)' at ../V3Stats.h:115:16, inlined from 'static void V3Stats::statsStage(const string&)' at ../V3StatsReport.cpp:218:25: /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = V3Statistic; _Alloc = std::allocator]', inlined from 'static void StatsReport::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:176:72, inlined from 'static void V3Stats::addStat(const V3Statistic&)' at ../V3StatsReport.cpp:205:70, inlined from 'static void V3Stats::addStatPerf(const string&, double)' at ../V3Stats.h:115:16, inlined from 'static void V3Stats::statsStage(const string&)' at ../V3StatsReport.cpp:221:25: /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Timing.cpp -o V3Timing.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3VariableOrder.cpp -o V3VariableOrder.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o In file included from /usr/include/c++/12/map:60, from ../V3String.h:26, from ../V3Error.h:24, from ../V3Ast.h:24, from ../V3Const.h:23, from ../V3Width.cpp:71: /usr/include/c++/12/bits/stl_tree.h: In function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_emplace_hint_unique(const_iterator, _Args&& ...) [with _Args = {const std::piecewise_construct_t&, std::tuple, std::tuple<>}; _Key = long long unsigned int; _Val = std::pair; _KeyOfValue = std::_Select1st >; _Compare = std::less; _Alloc = std::allocator >]': /usr/include/c++/12/bits/stl_tree.h:2457:7: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 2457 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/map:61: /usr/include/c++/12/bits/stl_map.h: In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::mapped_type& std::map<_Key, _Tp, _Compare, _Alloc>::operator[](const key_type&) [with _Key = long long unsigned int; _Tp = AstNodeExpr*; _Compare = std::less; _Alloc = std::allocator >]': /usr/include/c++/12/bits/stl_map.h:511:44: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 511 | __i = _M_t._M_emplace_hint_unique(__i, std::piecewise_construct, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 512 | std::tuple(__k), | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 513 | std::tuple<>()); | ~~~~~~~~~~~~~~~ In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::mapped_type& std::map<_Key, _Tp, _Compare, _Alloc>::operator[](const key_type&) [with _Key = long long unsigned int; _Tp = AstNodeExpr*; _Compare = std::less; _Alloc = std::allocator >]', inlined from 'AstVar* WidthVisitor::enumVarp(AstEnumDType*, VAttrType, bool, uint32_t)' at ../V3Width.cpp:6898:29: /usr/include/c++/12/bits/stl_map.h:511:44: note: parameter passing for argument of type 'std::_Rb_tree, std::_Select1st >, std::less, std::allocator > >::const_iterator' changed in GCC 7.1 511 | __i = _M_t._M_emplace_hint_unique(__i, std::piecewise_construct, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 512 | std::tuple(__k), | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 513 | std::tuple<>()); | ~~~~~~~~~~~~~~~ Linking ../../bin/verilator_bin... g++ -Wl,-z,relro -Wl,-z,now -Wl,-z,relro -Wl,-z,now -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Force.o V3Gate.o V3Global.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3Hasher.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3OptionParser.o V3Options.o V3Order.o V3Os.o V3Param.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3Partition.o V3PreProc.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Waiver.o V3Width.o V3WidthSel.o -lpthread -lm make[4]: Leaving directory '/build/verilator-5.006/src/obj_opt' make[3]: Leaving directory '/build/verilator-5.006/src' Build complete! Now type 'make test' to test. make[2]: Leaving directory '/build/verilator-5.006' ########################################## # Build sphinx based HTML documentation. # ########################################## cd docs && make html make[2]: Entering directory '/build/verilator-5.006/docs' make vl-extract make[3]: Entering directory '/build/verilator-5.006/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/build/verilator-5.006/docs' sphinx-build -M html "guide" "_build" -c guide Running Sphinx v5.3.0 making output directory... done building [mo]: targets for 0 po files that are out of date building [html]: targets for 30 source files that are out of date updating environment: [new config] 30 added, 0 changed, 0 removed reading sources... [ 3%] changes reading sources... [ 6%] connecting reading sources... [ 10%] contributing reading sources... [ 13%] contributors reading sources... [ 16%] copyright reading sources... [ 20%] deprecations reading sources... [ 23%] environment reading sources... [ 26%] example_binary reading sources... [ 30%] example_cc reading sources... [ 33%] example_common_install reading sources... [ 36%] example_dist reading sources... [ 40%] example_sc reading sources... [ 43%] examples reading sources... [ 46%] exe_sim reading sources... [ 50%] exe_verilator reading sources... [ 53%] exe_verilator_coverage reading sources... [ 56%] exe_verilator_gantt reading sources... [ 60%] exe_verilator_profcfunc reading sources... [ 63%] executables reading sources... [ 66%] extensions reading sources... [ 70%] faq reading sources... [ 73%] files reading sources... [ 76%] index reading sources... [ 80%] install reading sources... [ 83%] install-cmake reading sources... [ 86%] languages reading sources... [ 90%] overview reading sources... [ 93%] simulating reading sources... [ 96%] verilating reading sources... [100%] warnings looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done writing output... [ 3%] changes writing output... [ 6%] connecting writing output... [ 10%] contributing writing output... [ 13%] contributors writing output... [ 16%] copyright writing output... [ 20%] deprecations writing output... [ 23%] environment writing output... [ 26%] example_binary writing output... [ 30%] example_cc writing output... [ 33%] example_common_install writing output... [ 36%] example_dist writing output... [ 40%] example_sc writing output... [ 43%] examples writing output... [ 46%] exe_sim writing output... [ 50%] exe_verilator writing output... [ 53%] exe_verilator_coverage writing output... [ 56%] exe_verilator_gantt writing output... [ 60%] exe_verilator_profcfunc writing output... [ 63%] executables writing output... [ 66%] extensions writing output... [ 70%] faq writing output... [ 73%] files writing output... [ 76%] index writing output... [ 80%] install writing output... [ 83%] install-cmake writing output... [ 86%] languages writing output... [ 90%] overview writing output... [ 93%] simulating writing output... [ 96%] verilating writing output... [100%] warnings generating indices... done writing additional pages... search done copying images... [100%] figures/fig_gantt_min.png copying static files... done copying extra files... done dumping search index in English (code: en)... done dumping object inventory... done build succeeded. The HTML pages are in _build/html. python3 bin/vl_sphinx_fix _build make[2]: Leaving directory '/build/verilator-5.006/docs' ######################################### # Build sphinx based PDF documentation. # ######################################### cd docs && make pdf make[2]: Entering directory '/build/verilator-5.006/docs' make vl-extract make[3]: Entering directory '/build/verilator-5.006/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[3]: Leaving directory '/build/verilator-5.006/docs' make latex make[3]: Entering directory '/build/verilator-5.006/docs' make vl-extract make[4]: Entering directory '/build/verilator-5.006/docs' ln -sf ../spelling.txt guide/spelling.txt mkdir -p _build/gen python3 bin/vl_sphinx_extract ../bin/verilator Writing _build/gen/args_verilator.rst Writing _build/gen/args_verilated.rst sed 's/`/\&96;/g' < ../Changes > _build/gen/Changes make[4]: Leaving directory '/build/verilator-5.006/docs' sphinx-build -M latex "guide" "_build" -c guide Running Sphinx v5.3.0 making output directory... done loading pickled environment... done building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: 0 added, 3 changed, 0 removed reading sources... [ 33%] changes reading sources... [ 66%] exe_sim reading sources... [100%] exe_verilator looking for now-outdated files... none found pickling environment... done checking consistency... done processing verilator.tex... index overview examples example_binary example_cc example_sc example_dist install install-cmake verilating connecting simulating contributing faq languages extensions executables exe_verilator exe_verilator_coverage exe_verilator_gantt exe_verilator_profcfunc exe_sim warnings files environment deprecations contributors changes copyright resolving references... done writing... done copying images... [100%] figures/fig_gantt_min.png copying TeX support files... copying TeX support files... done build succeeded. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). python3 bin/vl_sphinx_fix _build make[3]: Leaving directory '/build/verilator-5.006/docs' python3 bin/vl_sphinx_fix _build make -C _build/latex make[3]: Entering directory '/build/verilator-5.006/docs/_build/latex' latexmk -pdf -dvi- -ps- 'verilator.tex' Rc files read: /etc/LatexMk latexmkrc Latexmk: This is Latexmk, John Collins, 7 Jan. 2023. Version 4.79. No existing .aux file, so I'll make a simple one, and require run of *latex. Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Category 'other': Rerun of 'pdflatex' forced or previously required ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] No file verilator.toc. [1] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. LaTeX Warning: Hyper reference `examples:examples' on page 1 undefined on input line 150. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 162. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 2 undefined on input line 166. LaTeX Warning: Hyper reference `example_dist:examples-in-the-distribution' on p age 2 undefined on input line 170. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 2 undef ined on input line 181. LaTeX Warning: Hyper reference `install:installation' on page 2 undefined on in put line 184. LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 2 undef ined on input line 225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 2 undefined on input line 230. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 2 undefin ed on input line 235. [2] LaTeX Warning: Hyper reference `install:installation' on page 3 undefined on in put line 276. [3] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 4 undefined on input line 331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 4 undefine d on input line 335. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 4 undefi ned on input line 340. LaTeX Warning: Hyper reference `example_sc:example-systemc-execution' on page 4 undefined on input line 342. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 4 undefined on input line 346. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 4 undefin ed on input line 351. LaTeX Warning: Hyper reference `files:files-read-written' on page 4 undefined o n input line 369. LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 4 undef ined on input line 399. LaTeX Warning: Hyper reference `install:installation' on page 4 undefined on in put line 403. [4] [5] [6] Chapter 3. LaTeX Warning: Hyper reference `install:git-install' on page 7 undefined on inp ut line 563. LaTeX Warning: Hyper reference `install:detailed-build-instructions' on page 7 undefined on input line 578. [7] LaTeX Warning: Hyper reference `install:package-manager-quick-install' on page 8 undefined on input line 615. [8] LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 685. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 686. LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 9 undefined on input line 736. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 9 undefined on input line 737. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 9 undefined on input line 737. [9] LaTeX Warning: Hyper reference `environment:cmdoption-arg-VERILATOR_ROOT' on pa ge 10 undefined on input line 760. [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 864. [11] [12] [13] Chapter 4. LaTeX Warning: Hyper reference `install:obtain-sources' on page 14 undefined on input line 1074. [14] [15] Chapter 5. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1128. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1128. LaTeX Warning: Hyper reference `verilating:c-and-systemc-generation' on page 16 undefined on input line 1129. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 16 u ndefined on input line 1133. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 16 un defined on input line 1138. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 16 undefined on input line 1144. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 16 undefine d on input line 1156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 16 undefine d on input line 1156. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top-module' on page 16 undefined on input line 1166. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 16 und efined on input line 1167. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 16 undefi ned on input line 1173. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 16 unde fined on input line 1174. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 16 undefin ed on input line 1179. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 16 undef ined on input line 1185. LaTeX Warning: Hyper reference `verilating:gnu-make' on page 16 undefined on in put line 1185. LaTeX Warning: Hyper reference `verilating:cmake' on page 16 undefined on input line 1186. LaTeX Warning: Hyper reference `simulating:simulating' on page 16 undefined on input line 1191. [16] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1209. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 17 undefined on input line 1211. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 17 undefined on input line 1222. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-hier_block' on page 17 undefined on input line 1226. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 17 u ndefined on input line 1226. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 1 7 undefined on input line 1231. Underfull \hbox (badness 8019) in paragraph at lines 1284--1287 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 18 undefined on input line 1298. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 18 undefined on input line 1304. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 18 undef ined on input line 1308. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1353. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1360. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 18 undefined on input line 1380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 18 undef ined on input line 1385. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 18 und efined on input line 1387. [18] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1390. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 19 u ndefined on input line 1390. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1391. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1392. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1392. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1393. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1397. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1401. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1407. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 19 undefined on input line 1415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 19 und efined on input line 1419. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 19 u ndefined on input line 1440. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 19 un defined on input line 1451. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-dpi' on page 19 undefined on input line 1455. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 19 und efined on input line 1458. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 19 undefine d on input line 1462. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 19 undef ined on input line 1466. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 19 undefin ed on input line 1470. [19] LaTeX Warning: Hyper reference `example_cc:example-c-execution' on page 20 unde fined on input line 1493. [20] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 21 und efined on input line 1711. [21] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 22 undefined on input line 1723. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 22 undefi ned on input line 1774. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 22 unde fined on input line 1775. [22] Chapter 6. LaTeX Warning: Hyper reference `files:files-read-written' on page 23 undefined on input line 1863. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1938--1942 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 24 undefine d on input line 1951. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 24 unde fined on input line 1965. LaTeX Warning: Hyper reference `connecting:evaluation-loop' on page 24 undefine d on input line 1968. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 24 undefine d on input line 1974. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-pins64' on page 24 u ndefined on input line 1982. [24] [25] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 26 undefined on input line 2149. [26] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 27 undefin ed on input line 2222. [27] [28] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2333. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 29 undefi ned on input line 2352. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2352. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 29 unde fined on input line 2358. [29] Chapter 7. LaTeX Warning: Hyper reference `exe_sim:simulation-runtime-arguments' on page 3 0 undefined on input line 2407. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 30 undefine d on input line 2413. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 30 un defined on input line 2414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 30 u ndefined on input line 2415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 30 unde fined on input line 2416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 30 undefine d on input line 2416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 30 un defined on input line 2418. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 30 un defined on input line 2419. LaTeX Warning: Hyper reference `verilating:multithreading' on page 30 undefined on input line 2425. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 30 undefined on input line 2426. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 30 un defined on input line 2430. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 3 0 undefined on input line 2458. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 30 unde fined on input line 2465. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 30 und efined on input line 2465. [30] LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 31 undefined o n input line 2501. Underfull \hbox (badness 10000) in paragraph at lines 2514--2519 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS LaTeX Warning: Hyper reference `simulating:profiling' on page 31 undefined on i nput line 2522. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 31 un defined on input line 2534. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 31 undefined on input line 2539. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 31 undefined on input line 2543. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 31 undefine d on input line 2547. LaTeX Warning: Hyper reference `simulating:coverage-collection' on page 31 unde fined on input line 2553. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 31 un defined on input line 2559. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 31 undefined on input line 2559. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 31 unde fined on input line 2565. [31] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2579. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 32 undefined on input line 2579. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 32 undefined on input line 2588. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 32 undefined on input line 2590. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 32 undefined on input line 2591. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 32 un defined on input line 2596. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 32 un defined on input line 2604. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 32 undefined on input line 2604. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 32 undefined on input line 2614. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-max-width' on page 32 undefined on input line 2615. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 32 undefined on input line 2640. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_on' on page 32 undefined on input line 2641. [32] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 33 undefined on input line 2702. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 33 u ndefined on input line 2732. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 33 undefined on input line 2741. Underfull \hbox (badness 6157) in paragraph at lines 2739--2742 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with \T1/txtt/m/it/10 +ver-i-la- [33] [34 <./fig_gantt_min.png>] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 35 und efined on input line 2816. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-savable' on page 35 und efined on input line 2817. [35] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 36 undefined on input line 2860. LaTeX Warning: Hyper reference `simulating:compiler-pgo' on page 36 undefined o n input line 2864. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 36 un defined on input line 2890. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 36 un defined on input line 2899. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-PROFOUTOFDATE' on page 3 6 undefined on input line 2917. [36] [37] Chapter 8. LaTeX Warning: Hyper reference `languages:language-limitations' on page 38 unde fined on input line 3007. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 38 undef ined on input line 3010. [38] [39] [40] Chapter 9. [41] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 42 undef ined on input line 3322. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 42 undefined on input line 3325. [42] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 43 undef ined on input line 3336. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 43 unde fined on input line 3378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 43 undefin ed on input line 3378. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 43 undef ined on input line 3391. [43] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 44 unde fined on input line 3437. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 44 undefin ed on input line 3438. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 44 u ndefined on input line 3456. [44] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-tracing_off' on page 45 undefined on input line 3502. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 45 undefined on input line 3508. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 45 undefined on input line 3509. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 45 undefined on input line 3569. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 45 unde fined on input line 3577. [45] LaTeX Warning: Hyper reference `connecting:connecting-to-c' on page 46 undefine d on input line 3584. (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 46 undefin ed on input line 3600. LaTeX Warning: Hyper reference `connecting:connecting' on page 46 undefined on input line 3619. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 6 undefined on input line 3637. LaTeX Warning: Hyper reference `simulating:benchmarking-optimization' on page 4 6 undefined on input line 3645. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 4 6 undefined on input line 3658. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 46 undefined on input line 3664. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 46 undefined on input line 3671. [46] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 47 undefined on input line 3713. [47] [48] Chapter 10. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 49 u ndefined on input line 3797. [49] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 50 un defined on input line 3864. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 50 un defined on input line 3865. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 50 unde fined on input line 3875. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 50 unde fined on input line 3897. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ZERODLY' on page 50 unde fined on input line 3929. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-RISEFALLDLY' on page 50 undefined on input line 3936. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MINTYPMAX' on page 50 un defined on input line 3940. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 50 unde fined on input line 3943. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 50 undefi ned on input line 3943. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 50 undef ined on input line 3946. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 50 u ndefined on input line 3949. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NOTIMING' on page 50 und efined on input line 3949. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 50 unde fined on input line 3955. [50] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 51 un defined on input line 3960. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 51 undefined on input line 3985. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 3990. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 51 u ndefined on input line 3990. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-NEEDTIMINGOPT' on page 5 1 undefined on input line 3991. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 51 undefined on input line 4004. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 51 undefined on input line 4010. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 51 undefined on input line 4011. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 51 unde fined on input line 4013. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 51 u ndefined on input line 4013. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 51 undefined on input line 4014. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 51 undefined on input line 4014. [51] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 52 un defined on input line 4119. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 52 u ndefined on input line 4128. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-assign' on page 52 un defined on input line 4139. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 52 undefined on input line 4146. [52] [53] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 54 undefined on input line 4274. [54] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 55 undef ined on input line 4369. [55] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 56 undefined on input line 4406. [56] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__FILE__' on page 57 u ndefined on input line 4448. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-__LINE__' on page 57 u ndefined on input line 4462. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 57 undefined on input line 4537. [57] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 58 undefined on input line 4599. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 58 u ndefined on input line 4599. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4611. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4612. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4627. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4628. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4643. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4644. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4659. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4660. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4675. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4676. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 58 un defined on input line 4691. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 58 undefined on input line 4692. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 58 undefined on input line 4700. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 58 un defined on input line 4714. [58] LaTeX Warning: Hyper reference `extensions:cmdoption-arg-verilog' on page 59 un defined on input line 4757. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 59 u ndefined on input line 4758. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 59 unde fined on input line 4770. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 59 undefined on input line 4772. LaTeX Warning: Hyper reference `extensions:cmdoption-arg-systemc_header' on pag e 59 undefined on input line 4786. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clock_enable' on pa ge 59 undefined on input line 4824. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 59 undefin ed on input line 4845. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-clocker' on page 59 undefined on input line 4848. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_clocker' on page 59 undefined on input line 4848. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-coverage_block_off' on page 59 undefined on input line 4865. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage' on page 59 un defined on input line 4891. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 59 undefined on input line 4892. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 59 undefined on input line 4907. [59] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-forceable' on page 60 undefined on input line 4917. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 0 undefined on input line 4933. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 60 undefined on input line 4936. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 60 unde fined on input line 4950. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-inline' on page 60 undefined on input line 4955. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 60 un defined on input line 4969. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-isolate_assignments ' on page 60 undefined on input line 5010. [60] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 61 undefined on input line 5091. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-no_inline' on page 61 undefined on input line 5111. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 61 undefined on input line 5170. [61] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 62 undefined on input line 5206. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 62 undefined on input line 5225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rd' on page 62 undefined on input line 5241. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public-flat-rw' on page 62 undefined on input line 5257. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat_rw' on page 62 undefined on input line 5260. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 62 unde fined on input line 5276. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public' on page 62 undefined on input line 5279. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sc_bv' on page 62 u ndefined on input line 5315. [62] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-sformat' on page 63 undefined on input line 5334. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 63 un defined on input line 5349. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-split_var' on page 63 undefined on input line 5380. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 63 u ndefined on input line 5408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 63 undefined on input line 5412. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_off' on page 63 undefined on input line 5425. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-timing_on' on page 63 undefined on input line 5428. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 63 undefined on input line 5442. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 6 3 undefined on input line 5443. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 63 undef ined on input line 5443. [63] [64] Chapter 12. [65] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [66] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [67] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 68 undefin ed on input line 5720. [68] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 69 unde fined on input line 5726. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 69 und efined on input line 5726. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 69 und efined on input line 5741. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 69 undefin ed on input line 5748. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog1995ext-ext' on page 69 undefined on input line 5819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilog2001ext-ext' on page 69 undefined on input line 5819. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-systemverilogext-ex t' on page 69 undefined on input line 5820. Underfull \hbox (badness 7221) in paragraph at lines 5817--5821 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms \T1/txtt/m/it/10 +ver-ilog1995ext+\T1/qtm/m/n/10 , \T1/txtt/m/it/ 10 +ver- LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 69 undefined on input line 5825. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 69 un defined on input line 5825. [69] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-main' on page 70 undefi ned on input line 5926. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5927. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 70 undef ined on input line 5927. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 70 unde fined on input line 5927. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 70 undefined on input line 5930. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 70 undefin ed on input line 5943. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 70 undef ined on input line 5975. LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKEFLAGS' on page 70 undefined on input line 5982. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-j' on page 70 undefined on input line 5986. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 70 undefine d on input line 5998. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lint-only' on page 70 u ndefined on input line 6014. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 70 undefin ed on input line 6051. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 70 undefined on input line 6057. [70] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 71 undefin ed on input line 6083. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 71 undefined on input line 6143. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 71 undefined on input line 6144. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 71 undefined on input line 6144. LaTeX Warning: Hyper reference `simulating:line-coverage' on page 71 undefined on input line 6156. LaTeX Warning: Hyper reference `simulating:toggle-coverage' on page 71 undefine d on input line 6182. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-underscore' on pa ge 71 undefined on input line 6195. LaTeX Warning: Hyper reference `simulating:user-coverage' on page 71 undefined on input line 6208. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-define-var' on page 71 undefined on input line 6221. [71] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 72 unde fined on input line 6245. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug-check' on page 72 undefined on input line 6249. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 72 undefined on input line 6254. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-debug-leak' on page 72 undefined on input line 6259. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 72 undef ined on input line 6279. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 72 undef ined on input line 6292. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 72 undef ined on input line 6298. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 72 undef ined on input line 6301. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debugi' on page 72 unde fined on input line 6330. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 72 undef ined on input line 6331. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 72 undefined on input line 6367. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 72 undefined on input line 6370. [72] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 73 undef ined on input line 6401. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-D-var' on page 73 undef ined on input line 6402. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 73 undefi ned on input line 6417. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 73 undefined on input line 6429. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 73 u ndefined on input line 6462. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 73 u ndefined on input line 6477. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 73 undef ined on input line 6478. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 73 u ndefined on input line 6479. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 73 u ndefined on input line 6493. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dumpi-tree' on page 73 undefined on input line 6494. [73] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-defines' on page 7 4 undefined on input line 6596. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-P' on page 74 undefined on input line 6596. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pp-comments' on page 74 undefined on input line 6597. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-error-limit-val ue' on page 74 undefined on input line 6614. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 74 undefined on input line 6656. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 74 undefined on input line 6657. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-F' on page 74 undefined on input line 6671. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-0' on page 74 undefined on input line 6671. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 74 un defined on input line 6710. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-pre-inline' on page 74 undefined on input line 6788. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-fno-dfg-post-inline' on page 74 undefined on input line 6788. [74] [75] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdbbt' on page 76 undef ined on input line 7095. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 76 undef ined on input line 7107. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-debug' on page 76 undef ined on input line 7109. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 76 undefin ed on input line 7111. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 76 undefined on input line 7123. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 76 undefined on input line 7140. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-V' on page 76 undefined on input line 7154. [76] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 77 undefined on input line 7183. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 77 undefined on input line 7184. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7196. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 77 undefined on input line 7221. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 77 undef ined on input line 7267. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 77 undefined on input line 7268. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 77 undefined on input line 7302. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-LDFLAGS' on page 77 und efined on input line 7323. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 77 undefined on input line 7345. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 77 undefined on input line 7345. [77] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 78 undefined on input line 7348. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale-override' on page 78 undefined on input line 7349. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 78 unde fined on input line 7354. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 78 undefined on input line 7357. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 78 undefi ned on input line 7388. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-sys' on page 78 un defined on input line 7393. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 78 undefined on input line 7393. LaTeX Warning: Hyper reference `environment:cmdoption-arg-MAKE' on page 78 unde fined on input line 7411. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7414. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-make' on page 78 undefi ned on input line 7415. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7416. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7428. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 78 unde fined on input line 7456. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 78 undef ined on input line 7457. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 78 undefine d on input line 7460. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-binary' on page 78 unde fined on input line 7463. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 78 undefine d on input line 7514. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 78 undefine d on input line 7514. [78] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 79 unde fined on input line 7527. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-MMD' on page 79 undefin ed on input line 7539. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-CFLAGS' on page 79 unde fined on input line 7577. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-O3' on page 79 undefine d on input line 7578. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-inline-mult' on page 79 undefined on input line 7580. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-exe' on page 79 undefin ed on input line 7608. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 79 unde fined on input line 7609. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7646. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7668. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7671. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7671. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7675. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split-cfuncs' on page 79 undefined on input line 7688. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-output-split' on page 7 9 undefined on input line 7692. [79] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 80 undefined on input line 7705. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 8 0 undefined on input line 7723. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-biguint' on pag e 80 undefined on input line 7738. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-sc-uint' on page 8 0 undefined on input line 7753. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 80 und efined on input line 7768. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 80 und efined on input line 7782. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-pins-bv' on page 80 und efined on input line 7795. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 80 undefined on input line 7823. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-E' on page 80 undefined on input line 7836. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 80 undefin ed on input line 7849. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-public' on page 80 unde fined on input line 7862. LaTeX Warning: Hyper reference `simulating:profiling' on page 80 undefined on i nput line 7876. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 80 undefined on input line 7879. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 80 unde fined on input line 7879. LaTeX Warning: Hyper reference `simulating:profiling' on page 80 undefined on i nput line 7897. [80] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 81 undefined on input line 7900. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-c' on page 81 unde fined on input line 7900. LaTeX Warning: Hyper reference `simulating:execution-profiling' on page 81 unde fined on input line 7913. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 81 und efined on input line 7926. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 81 undefined on input line 7927. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 81 undefined on input line 7955. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 81 undefined on input line 7981. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 81 undefined on input line 7986. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-key' on page 81 undefined on input line 7991. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 81 undefined on input line 8007. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-lib' on page 81 undefined on input line 8008. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-protect-ids' on page 81 undefined on input line 8009. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-lib-create' on page 81 undefined on input line 8009. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 81 unde fined on input line 8018. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 81 undefined on input line 8035. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_module' o n page 81 undefined on input line 8037. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 81 undefined on input line 8039. [81] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-vpi' on page 82 undefin ed on input line 8055. Underfull \hbox (badness 7576) in paragraph at lines 8049--8059 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ LaTeX Warning: Hyper reference `exe_verilator:cmdoption-G-name' on page 82 unde fined on input line 8071. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 82 un defined on input line 8127. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-dump-tree' on page 82 u ndefined on input line 8135. LaTeX Warning: Hyper reference `simulating:save-restore' on page 82 undefined o n input line 8183. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 82 undefine d on input line 8195. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cc' on page 82 undefine d on input line 8218. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-sc' on page 82 undefine d on input line 8219. [82] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 83 undef ined on input line 8245. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-stats' on page 83 undef ined on input line 8246. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNPACKED' on page 83 und efined on input line 8259. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 83 un defined on input line 8274. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1800-2017ext-ext' o n page 83 undefined on input line 8287. LaTeX Warning: Hyper reference `verilating:multithreading' on page 83 undefined on input line 8302. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 83 undef ined on input line 8303. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 83 u ndefined on input line 8303. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 83 und efined on input line 8348. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-instr-count-dpi' on pag e 83 undefined on input line 8372. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 83 und efined on input line 8384. [83] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prefix' on page 84 unde fined on input line 8476. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-MULTITOP' on page 84 und efined on input line 8478. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 84 u ndefined on input line 8491. Underfull \hbox (badness 7981) in paragraph at lines 8493--8501 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8507. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 84 und efined on input line 8508. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 84 undef ined on input line 8520. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-line' on page 84 undefined on input line 8521. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-user' on page 84 undefined on input line 8522. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-toggle' on pag e 84 undefined on input line 8523. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-depth' on page 84 undefined on input line 8543. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 84 undef ined on input line 8559. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-threads' on page 84 undefined on input line 8559. [84] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace-fst' on page 85 u ndefined on input line 8628. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-threads' on page 85 undefined on input line 8629. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-trace' on page 85 undef ined on input line 8633. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 85 und efined on input line 8633. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-coverage-underscore' on page 85 undefined on input line 8647. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 85 undefined on input line 8685. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-BLKLOOPINIT' on page 85 undefined on input line 8698. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSED' on page 85 undef ined on input line 8711. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-getenv' on page 85 unde fined on input line 8726. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 85 undef ined on input line 8752. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-1995ext-ext' o n page 85 undefined on input line 8766. [85] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-1364-2001ext-ext' o n page 86 undefined on input line 8778. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 86 u ndefined on input line 8821. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-lint' on page 86 undefined on input line 8835. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wwarn-style' on page 86 undefined on input line 8836. Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 5403) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]BSSPACE []Wno[]CASEINCOMPLETE []Wno []CASEOVERLAP Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[]LITENDIAN []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]U NSIGNED Underfull \hbox (badness 7291) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[]UNUSEDSIGNAL []W no[]WIDTH \T1/qtm/m/n/10 plus [86] Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM LaTeX Warning: Hyper reference `exe_verilator:cmdoption-default-language' on pa ge 87 undefined on input line 8966. Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]LITENDIAN []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwar n[]UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9007--9013 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-seed-value' on page 87 undefined on input line 9066. [87] LaTeX Warning: Hyper reference `languages:unknown-states' on page 88 undefined on input line 9120. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 88 undefined on input line 9134. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial-edge' on page 88 undefined on input line 9170. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-converge-limit' on page 88 undefined on input line 9176. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-xml-only' on page 88 un defined on input line 9209. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 88 undefined on input line 9222. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 88 undefined on input line 9223. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 88 undef ined on input line 9223. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-incdir-dir' on page 88 undefined on input line 9224. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 88 undefined on input line 9224. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-I-dir' on page 88 undef ined on input line 9225. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 88 undefi ned on input line 9229. [88] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-verilator_config' o n page 89 undefined on input line 9244. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clock_enable' on page 89 undefined on input line 9321. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 89 undefin ed on input line 9362. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-clocker' on page 89 undefined on input line 9365. [89] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_block_o ff' on page 90 undefined on input line 9391. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-public_flat' on pag e 90 undefined on input line 9405. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-forceable' on pa ge 90 undefined on input line 9408. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-hierarchical' on page 9 0 undefined on input line 9447. LaTeX Warning: Hyper reference `verilating:hierarchical-verilation' on page 90 undefined on input line 9448. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-inline_module' o n page 90 undefined on input line 9461. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 90 undefined on input line 9493. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_module ' on page 90 undefined on input line 9506. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 90 undefined on input line 9535. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wno-lint' on page 90 un defined on input line 9575. [90] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public' on page 91 undefined on input line 9626. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-public_flat' on page 91 undefined on input line 9627. LaTeX Warning: Hyper reference `connecting:vpi-example' on page 91 undefined on input line 9628. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 91 undefined on input line 9642. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sc_bv' on page 9 1 undefined on input line 9664. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-sformat' on page 91 undefined on input line 9693. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 91 un defined on input line 9716. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 91 undefined on input line 9719. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 91 u ndefined on input line 9743. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_on' on pa ge 91 undefined on input line 9747. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-timing_off' on p age 91 undefined on input line 9748. [91] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-coverage_off' on page 92 undefined on input line 9843. LaTeX Warning: Hyper reference `simulating:coverage-analysis' on page 92 undefi ned on input line 9847. [92] LaTeX Warning: Hyper reference `exe_verilator_coverage:cmdoption-verilator_cove rage-write' on page 93 undefined on input line 9966. [93] LaTeX Warning: Hyper reference `simulating:profiling' on page 94 undefined on i nput line 10029. [94] LaTeX Warning: Hyper reference `simulating:profiling' on page 95 undefined on i nput line 10167. [95] LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-debugi-value' o n page 96 undefined on input line 10240. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 96 u ndefined on input line 10290. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 96 u ndefined on input line 10304. [96] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-exec' on page 97 u ndefined on input line 10321. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 97 undefined on input line 10322. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-file- filename' on page 97 undefined on input line 10338. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-start -value' on page 97 undefined on input line 10350. LaTeX Warning: Hyper reference `exe_sim:cmdoption-arg-verilator-prof-exec-windo w-value' on page 97 undefined on input line 10362. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-pgo' on page 97 un defined on input line 10374. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 97 u ndefined on input line 10389. LaTeX Warning: Hyper reference `languages:unknown-states' on page 97 undefined on input line 10391. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-x-initial' on page 97 u ndefined on input line 10403. [97] Chapter 13. LaTeX Warning: Hyper reference `exe_verilator:configuration-files' on page 98 u ndefined on input line 10474. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-lint_off' on page 9 8 undefined on input line 10475. [98] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 99 u ndefined on input line 10592. [99] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-count' on page 1 00 undefined on input line 10710. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unroll-stmts' on page 1 00 undefined on input line 10710. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-assert' on page 100 und efined on input line 10784. [100] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-cdc' on page 101 undefi ned on input line 10875. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-clk' on page 101 undefi ned on input line 10895. [101] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 102 u ndefined on input line 10978. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 102 u ndefined on input line 10979. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-language' on page 102 u ndefined on input line 10980. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-y' on page 102 undefine d on input line 10999. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 102 undefine d on input line 11001. [102] LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNOPTFLAT' on page 103 u ndefined on input line 11122. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-prof-cfuncs' on page 10 3 undefined on input line 11153. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 103 undefined on input line 11178. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-ASSIGNDLY' on page 103 u ndefined on input line 11179. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-STMTDLY' on page 103 und efined on input line 11180. [103] [104] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-hier_block' on p age 105 undefined on input line 11351. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-if-depth' on page 105 u ndefined on input line 11365. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Wall' on page 105 undef ined on input line 11438. [105] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-no_inline_task' on page 106 undefined on input line 11530. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 106 undefined on input line 11575. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-COMBDLY' on page 106 und efined on input line 11594. [106] [107] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-4' on page 108 undefine d on input line 11768. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-top' on page 108 undefi ned on input line 11774. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 108 und efined on input line 11802. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 108 undefined on input line 11802. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 108 und efined on input line 11832. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 108 undefined on input line 11834. [108] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 109 undefined on input line 12041. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-arg-profile_data' on pa ge 109 undefined on input line 12042. [109] [110] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 111 undefined on input line 12280. [111] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-no-timing' on page 112 undefined on input line 12350. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 112 und efined on input line 12371. [112] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Werror-message' on page 113 undefined on input line 12489. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timescale' on page 113 undefined on input line 12513. [113] LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 114 undefined on input line 12644. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 114 undefined on input line 12646. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-split_var' on pa ge 114 undefined on input line 12647. LaTeX Warning: Hyper reference `extensions:cmdoption-verilator-isolate_assignme nts' on page 114 undefined on input line 12652. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-report-unoptflat' on pa ge 114 undefined on input line 12661. [114] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads' on page 115 un defined on input line 12684. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-threads-max-mtasks' on page 115 undefined on input line 12688. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 115 undefined on input line 12757. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-bbox-unsup' on page 115 undefined on input line 12759. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDGENVAR' on page 11 5 undefined on input line 12772. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDPARAM' on page 115 undefined on input line 12772. LaTeX Warning: Hyper reference `warnings:cmdoption-arg-UNUSEDSIGNAL' on page 11 5 undefined on input line 12773. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 115 undefined on input line 12815. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-unused-regexp' on page 115 undefined on input line 12838. [115] [116] [117] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-timing' on page 118 und efined on input line 13156. [118] Chapter 14. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-Mdir' on page 119 undef ined on input line 13192. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13389--13389 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [119] [120] LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 121 undefined on input line 13620. LaTeX Warning: Hyper reference `simulating:thread-pgo' on page 121 undefined on input line 13620. [121] Chapter 15. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build' on page 122 unde fined on input line 13676. LaTeX Warning: Hyper reference `exe_verilator:cmdoption-build-jobs' on page 122 undefined on input line 13692. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_INCLUDE' on p age 122 undefined on input line 13721. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 122 undefined on input line 13722. LaTeX Warning: Hyper reference `environment:cmdoption-arg-SYSTEMC_LIBDIR' on pa ge 122 undefined on input line 13737. [122] LaTeX Warning: Hyper reference `exe_verilator:cmdoption-gdb' on page 123 undefi ned on input line 13826. LaTeX Warning: Hyper reference `install:installation' on page 123 undefined on input line 13844. [123] Chapter 16. [124] Chapter 17. [125] [126] [127] Chapter 18. [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] Underfull \hbox (badness 10000) in paragraph at lines 18290--18293 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] Chapter 19. [212] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `verilator.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 tcrm1000 mkdir: cannot create directory '././nonexistent': Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input tcrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2022/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tcrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymb.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txpseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [27] [29]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txgen.mf Ok [100] [109] [98] [99] [108]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymbol.mf Ok [13] [18] [21] [22] [23] [24] [25] [26] [28] [31] [32] [36] [39] [44] [45] [46] [42] [47] [60] [61] [62] [77] [79] [87] [110] [91] [93] [94] [95] [96] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [171] [172] [173] [174] [175] [177] [176] [180] [181] [182] [183] [184] [187] [191] [214] [246]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txromod.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrsuper.mf Ok [185] [178] [179] [170] [186]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrfract.mf Ok [188] [189] [190]) ) ) ) (some charht values had to be adjusted by as much as 0.06943pt) Font metrics written on tcrm1000.tfm. Output written on tcrm1000.600gf (128 characters, 23548 bytes). Transcript written on tcrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/tcrm1000.600pk: successfully generated. kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 ecrm1000 mkdir: cannot create directory '././nonexistent': Permission denied mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input ecrm1000 This is METAFONT, Version 2.71828182 (TeX Live 2022/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/ecrm.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exroman.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exruwest.mf Ok [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] [212] [213] [214] [215] [216] [217] [218] [219] [220] [221] [222] [223]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlwest.mf Ok [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250] [251] [252] [253] [254] [255]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrueast.mf Ok [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrleast.mf Ok [158] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbraces.mf Ok [94] [126] [23] [40] [41] [60] [124] [62] [91] [93] [92] [123] [125] [95] [127] [32]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/expunct.mf Ok [14] [15] [19] [20] [13] [18] [33] [39] [42] [43] [44] [46] [47] [58] [59] [61] [96] [189] [17] [45] [16] [21] [22]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exsign.mf Ok [24] [34] [35] [36] [37] [64] [191] [159]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrlig.mf Ok [25] [26] [28] [27] [29] [30] [31]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exromp.mf Ok [38] [63] [190]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrulett.mf Ok [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrllett.mf Ok [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrdigit.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exrligtb.mf Ok) ) ) ) (some charht values had to be adjusted by as much as 0.06586pt) Font metrics written on ecrm1000.tfm. Output written on ecrm1000.600gf (256 characters, 51184 bytes). Transcript written on ecrm1000.log. mktexpk: /tmp/texfonts/pk/ljfour/jknappen/ec/ecrm1000.600pk: successfully generated. Output written on verilator.pdf (214 pages, 734211 bytes). Transcript written on verilator.log. Latexmk: Missing input file 'verilator.toc' (or dependence on it) from following: No file verilator.toc. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux verilator.out verilator.toc ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. [2] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 864. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1284--1287 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] Chapter 6. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1938--1942 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [24] [25] [26] [27] [28] [29] Chapter 7. [30] Underfull \hbox (badness 10000) in paragraph at lines 2514--2519 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [31] [32] Underfull \hbox (badness 6204) in paragraph at lines 2739--2742 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [33] [34 <./fig_gantt_min.png>] [35] [36] [37] Chapter 8. [38] [39] [40] Chapter 9. [41] [42] [43] [44] [45] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [46] [47] [48] Chapter 10. [49] [50] [51] [52] [53] [54] [55] [56] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [57] [58] [59] [60] [61] [62] [63] [64] Chapter 12. [65] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [66] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [67] [68] Underfull \hbox (badness 7221) in paragraph at lines 5817--5821 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] Underfull \hbox (badness 7576) in paragraph at lines 8049--8059 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [82] [83] Underfull \hbox (badness 7981) in paragraph at lines 8493--8501 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [84] [85] Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 5403) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]BSSPACE []Wno[]CASEINCOMPLETE []Wno []CASEOVERLAP Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[]LITENDIAN []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]U NSIGNED Underfull \hbox (badness 7291) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[]UNUSEDSIGNAL []W no[]WIDTH \T1/qtm/m/n/10 plus [86] Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]LITENDIAN []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwar n[]UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9007--9013 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] Chapter 13. [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] Chapter 14. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13389--13389 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [119] [120] [121] Chapter 15. [122] [123] Chapter 16. [124] Chapter 17. [125] [126] [127] Chapter 18. [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] Underfull \hbox (badness 10000) in paragraph at lines 18290--18293 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] Chapter 19. [212] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} Output written on verilator.pdf (215 pages, 789945 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: applying rule 'pdflatex'... Rule 'pdflatex': Reasons for rerun Changed files or newly in use/created: verilator.aux ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "verilator.tex"' ------------ This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./verilator.tex LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty) (./sphinxlatexadmonitions.sty (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) (./sphinxlatexliterals.sty (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) (./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) (./sphinxhighlight.sty) (./sphinxlatextables.sty (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty)) (./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty ) (./sphinxlatexindbibtoc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) (./sphinxlatexstylepage.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) (./sphinxlatexstyleheadings.sty (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) (./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) (/usr/share/texmf/tex/latex/tex-gyre/t1qtm.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./verilator.aux) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (./verilator.out) (./verilator.out) LaTeX Font Warning: Font shape `T1/txtt/m/n' undefined (Font) using `T1/cmr/m/n' instead on input line 79. (/usr/share/texmf/tex/latex/tex-gyre/t1qhv.fd)<><><><> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo nts/map/pdftex/updmap/pdftex.map} <./verilator_logo.png>] (./verilator.toc [1]) [2] Chapter 1. LaTeX Font Warning: Font shape `T1/txtt/b/n' undefined (Font) using `T1/txtt/m/n' instead on input line 121. [1] Chapter 2. (/usr/share/texmf/tex/latex/tex-gyre/ts1qtm.fd) LaTeX Font Warning: Font shape `T1/txtt/m/it' undefined (Font) using `T1/txtt/m/n' instead on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/it' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 193. LaTeX Font Warning: Font shape `TS1/txtt/m/n' undefined (Font) using `TS1/cmr/m/n' instead (Font) for symbol `textquotesingle' on input line 205. [2] [3] [4] [5] [6] Chapter 3. [7] [8] [9] [10] LaTeX Warning: Hyper reference `install:_1_run_in_place_from_verilator_root' on page 11 undefined on input line 864. [11] [12] [13] Chapter 4. [14] [15] Chapter 5. [16] Underfull \hbox (badness 8019) in paragraph at lines 1284--1287 []\T1/qtm/m/n/10 Pa-ram-e-ter-ized hi-er-ar-chy block. Pa-ram-e-ters of a hi-er -ar-chy block can be over-rid-den us-ing \T1/txtt/m/it/10 #(. [17] [18] [19] [20] [21] [22] Chapter 6. [23] Overfull \hbox (36.06451pt too wide) in paragraph at lines 1938--1942 []\T1/qtm/m/n/10 Re-place \T1/txtt/m/it/10 modelp[]>internal[]>member[]>lookup \T1/qtm/m/n/10 ref-er-ences with \T1/txtt/m/it/10 modelp[]>rootp[]>internal[]>m ember[]>lookup [24] [25] [26] [27] [28] [29] Chapter 7. [30] Underfull \hbox (badness 10000) in paragraph at lines 2514--2519 []\T1/qtm/m/n/10 If us-ing your own make-files, you may want to com-pile the Ve r-i-lated code with [][]\T1/txtt/m/it/10 MAKEFLAGS [31] [32] Underfull \hbox (badness 6204) in paragraph at lines 2739--2742 []\T1/qtm/m/n/10 Add code to save pro-fil-ing data in non-human-friendly form t o the file spec-i-fied with [][]\T1/txtt/m/it/10 +ver-i-la- [33] [34 <./fig_gantt_min.png>] [35] [36] [37] Chapter 8. [38] [39] [40] Chapter 9. [41] [42] [43] [44] [45] (/usr/share/texmf/tex/latex/tex-gyre/ts1qhv.fd) [46] [47] [48] Chapter 10. [49] [50] [51] [52] [53] [54] [55] [56] Chapter 11. LaTeX Font Warning: Font shape `TS1/txtt/b/n' undefined (Font) using `TS1/txtt/m/n' instead (Font) for symbol `textasciigrave' on input line 1. [57] [58] [59] [60] [61] [62] [63] [64] Chapter 12. [65] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [66] Underfull \vbox (badness 10000) detected at line 5698 Underfull \vbox (badness 10000) detected at line 5698 [67] [68] Underfull \hbox (badness 7221) in paragraph at lines 5817--5821 []\T1/qtm/m/n/10 For com-pat-i-bil-ity with other sim-u-la-tors, see also the s yn-onyms [][]\T1/txtt/m/it/10 +ver-ilog1995ext+[][]\T1/qtm/m/n/10 , [][]\T 1/txtt/m/it/10 +ver- [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] Underfull \hbox (badness 7576) in paragraph at lines 8049--8059 \T1/qtm/m/n/10 De-clares all vari-ables, ports, and wires pub-lic as if they ha d \T1/txtt/m/it/10 /*verilator pub-lic_flat_rw @ [82] [83] Underfull \hbox (badness 7981) in paragraph at lines 8493--8501 []\T1/qtm/m/n/10 Ver-i-la-tor will gen-er-ate ad-di-tional \T1/txtt/m/it/10 __Trace*.cpp \T1/qtm/m/n/10 files must be com-piled. In ad-di-tion [84] [85] Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 \T1/qtm/m/n/10 Dis-able all lint-related warn-ing mes-sages, and all style warn -ings. This is equiv-a-lent to Underfull \hbox (badness 5403) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]ALWCOMBORDER []Wno[]BSSPACE []Wno[]CASEINCOMPLETE []Wno []CASEOVERLAP Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]IMPLICIT []Wno[]IMPLICITSTATIC []Wno[]LITENDIAN []Wno[] PINCONNECTEMPTY Underfull \hbox (badness 10000) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]PINMISSING []Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]U NSIGNED Underfull \hbox (badness 7291) in paragraph at lines 8922--8931 []\T1/txtt/m/it/10 Wno[]UNUSEDGENVAR []Wno[]UNUSEDPARAM []Wno[]UNUSEDSIGNAL []W no[]WIDTH \T1/qtm/m/n/10 plus [86] Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 \T1/qtm/m/n/10 This is equiv-a-lent to []\T1/txtt/m/it/10 Wno[]DECLFILENAME []W no[]DEFPARAM []Wno[]EOFNEWLINE Underfull \hbox (badness 10000) in paragraph at lines 8945--8953 []\T1/txtt/m/it/10 Wno[]SYNCASYNCNET []Wno[]UNDRIVEN []Wno[]UNUSEDGENVAR []Wno[ ]UNUSEDPARAM Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]CASEWITHX []Wwarn[]CMPCONST []Wwarn[]COLONPLUS []Wwar n[]IMPLICIT Underfull \hbox (badness 10000) in paragraph at lines 8990--8998 []\T1/txtt/m/it/10 Wwarn[]LITENDIAN []Wwarn[]PINMISSING []Wwarn[]REALCVT []Wwar n[]UNSIGNED Underfull \hbox (badness 7851) in paragraph at lines 9007--9013 \T1/qtm/m/n/10 En-able all code style-related warn-ing mes-sages. This is equiv -a-lent to []\T1/txtt/m/it/10 Wwarn AS-SIGNDLY Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]PINNOCONNECT []Wwarn[]SYNCASYNCNET []Wwarn[]UNDRIVEN Underfull \hbox (badness 10000) in paragraph at lines 9007--9013 []\T1/txtt/m/it/10 Wwarn[]UNUSEDGENVAR []Wwarn[]UNUSEDPARAM []Wwarn[]UNUSEDSIGN AL [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] Chapter 13. [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] Chapter 14. Overfull \hbox (26.36888pt too wide) in paragraph at lines 13389--13389 []\T1/qtm/m/it/10 {pre-fix}{each_verilog_module}{__DepSet_hash__n}\T1/qtm/m/n/1 0 .cpp| [119] [120] [121] Chapter 15. [122] [123] Chapter 16. [124] Chapter 17. [125] [126] [127] Chapter 18. [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] Underfull \hbox (badness 10000) in paragraph at lines 18290--18293 []\T1/qtm/m/n/10 If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Fred-eric Re-quin] Set [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] [188] [189] [190] [191] [192] [193] [194] [195] [196] [197] [198] [199] [200] [201] [202] [203] [204] [205] [206] [207] [208] [209] [210] [211] Chapter 19. [212] (./verilator.aux) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/tex-gyre/q-ec.enc}{/usr/share/texmf/fonts/enc/dvips/tex-gyre/q-ts1.enc} Output written on verilator.pdf (215 pages, 789945 bytes). Transcript written on verilator.log. Latexmk: Getting log file 'verilator.log' Latexmk: Examining 'verilator.fls' Latexmk: Examining 'verilator.log' Latexmk: Log file says output to 'verilator.pdf' Latexmk: All targets () are up-to-date make[3]: Leaving directory '/build/verilator-5.006/docs/_build/latex' cp _build/latex/verilator.pdf .. make[2]: Leaving directory '/build/verilator-5.006/docs' make[1]: Leaving directory '/build/verilator-5.006' debian/rules override_dh_auto_test make[1]: Entering directory '/build/verilator-5.006' dh_auto_test make -j4 test "TESTSUITEFLAGS=-j4 --verbose" VERBOSE=1 make[2]: Entering directory '/build/verilator-5.006' ------------------------------------------------------------ making verilator in src make -C src make[3]: Entering directory '/build/verilator-5.006/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[4]: Entering directory '/build/verilator-5.006/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make[4]: Entering directory '/build/verilator-5.006/src' make[4]: warning: -j1 forced in submake: resetting jobserver mode. make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' make[4]: Nothing to be done for 'serial_vlcov'. make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[4]: Nothing to be done for 'serial'. make[4]: Leaving directory '/build/verilator-5.006/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make[4]: Entering directory '/build/verilator-5.006/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make[4]: Entering directory '/build/verilator-5.006/src/obj_opt' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[4]: Leaving directory '/build/verilator-5.006/src/obj_opt' make[3]: Leaving directory '/build/verilator-5.006/src' test_regress/t/t_a1_first_cc.pl for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[3]: Entering directory '/build/verilator-5.006/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /build/verilator-5.006/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[4]: Entering directory '/build/verilator-5.006/examples/make_hello_c' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o sim_main.o ../sim_main.cpp ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V sh: line 1: gdb: command not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.006 2023-01-22 rev (Debian 5.006-3) Starting Verilator 5.006 2023-01-22 rev (Debian 5.006-3) Verilator 5.006 2023-01-22 rev (Debian 5.006-3) Copyright 2003-2023 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 0 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_BIN = VERILATOR_ROOT = /build/verilator-5.006/test_regress/.. Supported features (compiled-in or forced by environment): COROUTINES = 1 SYSTEMC = perl /build/verilator-5.006/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log sh: line 1: gdb: command not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 5.006 2023-01-22 rev (Debian 5.006-3) Starting Verilator 5.006 2023-01-22 rev (Debian 5.006-3) make -C obj_vlt/t_a1_first_cc -f /build/verilator-5.006/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/build/verilator-5.006/test_regress/obj_vlt/t_a1_first_cc' make[3]: Entering directory '/build/verilator-5.006/test_regress' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/test_regress/../include -I/build/verilator-5.006/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated.o /build/verilator-5.006/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/test_regress/../include -I/build/verilator-5.006/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated.o /build/verilator-5.006/test_regress/../include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/test_regress/../include -I/build/verilator-5.006/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated_vcd_c.o /build/verilator-5.006/test_regress/../include/verilated_vcd_c.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated_threads.o /build/verilator-5.006/include/verilated_threads.cpp In file included from /usr/include/c++/12/list:63, from /build/verilator-5.006/test_regress/../include/verilated_trace_imp.h:30, from /build/verilator-5.006/test_regress/../include/verilated_vcd_c.cpp:79: /usr/include/c++/12/bits/stl_list.h: In member function 'std::__cxx11::list<_Tp, _Alloc>::reference std::__cxx11::list<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {void (* const&)(void*, VerilatedTraceBuffer*), void* const&, VerilatedTraceBuffer* const&}; _Tp = VerilatedTrace::ParallelWorkerData; _Alloc = std::allocator::ParallelWorkerData>]': /usr/include/c++/12/bits/stl_list.h:1321:26: note: parameter passing for argument of type 'std::_List_iterator::ParallelWorkerData>' changed in GCC 7.1 1321 | this->_M_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_list.h: In member function 'void std::__cxx11::list<_Tp, _Alloc>::_M_insert(iterator, _Args&& ...) [with _Args = {void (* const&)(void*, VerilatedTraceBuffer*), void* const&, VerilatedTraceBuffer* const&}; _Tp = VerilatedTrace::ParallelWorkerData; _Alloc = std::allocator::ParallelWorkerData>]': /usr/include/c++/12/bits/stl_list.h:2003:8: note: parameter passing for argument of type 'std::__cxx11::list::ParallelWorkerData>::iterator' changed in GCC 7.1 2003 | _M_insert(iterator __position, _Args&&... __args) | ^~~~~~~~~ /usr/bin/python3 /build/verilator-5.006/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h5bd79f41__0.cpp Vtop___024root__DepSet_h90d7eb88__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h90d7eb88__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/test_regress/../include -I/build/verilator-5.006/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated_threads.o /build/verilator-5.006/test_regress/../include/verilated_threads.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/build/verilator-5.006/examples/make_hello_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/build/verilator-5.006/examples/make_hello_c' make[3]: Entering directory '/build/verilator-5.006/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[3]: Leaving directory '/build/verilator-5.006/examples/make_hello_sc' make[3]: Entering directory '/build/verilator-5.006/examples/cmake_hello_c' %Skip: CMake has not been found make[3]: Leaving directory '/build/verilator-5.006/examples/cmake_hello_c' make[3]: Entering directory '/build/verilator-5.006/examples/cmake_hello_sc' %Skip: CMake has not been found make[3]: Leaving directory '/build/verilator-5.006/examples/cmake_hello_sc' make[3]: Entering directory '/build/verilator-5.006/examples/cmake_protect_lib' %Skip: CMake has not been found make[3]: Leaving directory '/build/verilator-5.006/examples/cmake_protect_lib' make[3]: Entering directory '/build/verilator-5.006/examples/cmake_tracing_c' %Skip: CMake has not been found make[3]: Leaving directory '/build/verilator-5.006/examples/cmake_tracing_c' make[3]: Entering directory '/build/verilator-5.006/examples/cmake_tracing_sc' %Skip: CMake has not been found make[3]: Leaving directory '/build/verilator-5.006/examples/cmake_tracing_sc' make[3]: Entering directory '/build/verilator-5.006/examples/make_hello_binary' -- Verilator hello-world simple binary example -- VERILATE & BUILD -------- /build/verilator-5.006/bin/verilator --binary -j 0 top.v make[4]: Entering directory '/build/verilator-5.006/examples/make_hello_binary' make[4]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated.o /build/verilator-5.006/include/verilated.cpp /usr/bin/python3 /build/verilator-5.006/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hc6dd0e50__0.cpp Vt_a1_first_cc___024root__DepSet_h4a66bce2__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_h4a66bce2__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/test_regress/../include -I/build/verilator-5.006/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o g++ -Wl,-z,relro -Wl,-z,now Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a -pthread -lpthread -latomic -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp make[3]: Leaving directory '/build/verilator-5.006/test_regress/obj_vlt/t_a1_first_cc' driver: Leaving directory '/build/verilator-5.006/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:44 ==SUMMARY: Passed 1 Failed 0 Time 0:44 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:44 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== -Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 ====================================================================== #vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed TESTS DONE, PASSED w/SKIPS: Passed 0 Failed 0 Skipped 1 Time 0:00 g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated_threads.o /build/verilator-5.006/include/verilated_threads.cpp /usr/bin/python3 /build/verilator-5.006/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h5bd79f41__0.cpp Vtop___024root__DepSet_h90d7eb88__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h90d7eb88__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-z,relro -Wl,-z,now verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/build/verilator-5.006/examples/make_hello_binary/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_hello_c. Note: See also https://verilator.org/guide/latest/examples.html make[3]: Leaving directory '/build/verilator-5.006/examples/make_hello_binary' make[3]: Entering directory '/build/verilator-5.006/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /build/verilator-5.006/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[4]: Entering directory '/build/verilator-5.006/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. /usr/bin/python3 /build/verilator-5.006/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSMWpE__DepSet_hacba463e__0.cpp Vsecret_impl_PSMWpE__DepSet_hca12162e__0.cpp Vsecret_impl_PSMWpE__Slow.cpp Vsecret_impl_PSMWpE__DepSet_hacba463e__0__Slow.cpp Vsecret_impl_PSMWpE__DepSet_hca12162e__0__Slow.cpp Vsecret_impl_PSAuIf.cpp > Vsecret_impl__ALL.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -c -o verilated.o /build/verilator-5.006/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -c -o verilated_threads.o /build/verilator-5.006/include/verilated_threads.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -c -o verilated_secret.o verilated_secret.cpp echo "" > libverilated_secret.verilator_deplist.tmp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -DVL_TIME_CONTEXT -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o rm libverilated_secret.verilator_deplist.tmp make[4]: Leaving directory '/build/verilator-5.006/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /build/verilator-5.006/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[4]: Entering directory '/build/verilator-5.006/examples/make_protect_lib' make[4]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated.o /build/verilator-5.006/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated_dpi.o /build/verilator-5.006/include/verilated_dpi.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated_vcd_c.o /build/verilator-5.006/include/verilated_vcd_c.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o verilated_threads.o /build/verilator-5.006/include/verilated_threads.cpp /usr/bin/python3 /build/verilator-5.006/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h5bd79f41__0.cpp Vtop___024root__DepSet_h90d7eb88__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h5bd79f41__0__Slow.cpp Vtop___024root__DepSet_h90d7eb88__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVL_TIME_CONTEXT -Os -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/build/verilator-5.006/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=2756837218 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/build/verilator-5.006/examples/make_protect_lib' make[3]: Entering directory '/build/verilator-5.006/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /build/verilator-5.006/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[4]: Entering directory '/build/verilator-5.006/examples/make_tracing_c' make[4]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated.o /build/verilator-5.006/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_cov.o /build/verilator-5.006/include/verilated_cov.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_vcd_c.o /build/verilator-5.006/include/verilated_vcd_c.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_threads.o /build/verilator-5.006/include/verilated_threads.cpp /usr/bin/python3 /build/verilator-5.006/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h5bd79f41__0.cpp Vtop___024root__DepSet_h90d7eb88__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h5bd79f41__0__Slow.cpp Vtop___024root__DepSet_h90d7eb88__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-5.006/include -I/build/verilator-5.006/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o Vtop__ALL.o Vtop__ALL.cpp In file included from /usr/include/c++/12/map:60, from /build/verilator-5.006/include/verilated.h:50, from /build/verilator-5.006/include/verilated_cov.h:30, from /build/verilator-5.006/include/verilated_cov.cpp:26: /usr/include/c++/12/bits/stl_tree.h: In member function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_emplace_hint_unique(const_iterator, _Args&& ...) [with _Args = {std::__cxx11::basic_string, std::allocator >&, std::pair, std::allocator >, long long unsigned int>}; _Key = const std::__cxx11::basic_string; _Val = std::pair, std::pair, long long unsigned int> >; _KeyOfValue = std::_Select1st, std::pair, long long unsigned int> > >; _Compare = std::less >; _Alloc = std::allocator, std::pair, long long unsigned int> > >]': /usr/include/c++/12/bits/stl_tree.h:2457:7: note: parameter passing for argument of type 'std::_Rb_tree, std::pair, std::pair, long long unsigned int> >, std::_Select1st, std::pair, long long unsigned int> > >, std::less >, std::allocator, std::pair, long long unsigned int> > > >::const_iterator' changed in GCC 7.1 2457 | _Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/map:61: In member function 'std::map<_Key, _Tp, _Compare, _Alloc>::iterator std::map<_Key, _Tp, _Compare, _Alloc>::emplace_hint(const_iterator, _Args&& ...) [with _Args = {std::__cxx11::basic_string, std::allocator >&, std::pair, std::allocator >, long long unsigned int>}; _Key = const std::__cxx11::basic_string; _Tp = std::pair, long long unsigned int>; _Compare = std::less >; _Alloc = std::allocator, std::pair, long long unsigned int> > >]', inlined from 'std::pair, std::_Select1st >, _Compare, typename __gnu_cxx::__alloc_traits<_Allocator>::rebind >::other>::iterator, bool> std::map<_Key, _Tp, _Compare, _Alloc>::emplace(_Args&& ...) [with _Args = {std::__cxx11::basic_string, std::allocator >&, std::pair, std::allocator >, long long unsigned int>}; _Key = const std::__cxx11::basic_string; _Tp = std::pair, long long unsigned int>; _Compare = std::less >; _Alloc = std::allocator, std::pair, long long unsigned int> > >]' at /usr/include/c++/12/bits/stl_map.h:599:22, inlined from 'void VerilatedCovImp::write(const char*)' at /build/verilator-5.006/include/verilated_cov.cpp:411:36: /usr/include/c++/12/bits/stl_map.h:638:45: note: parameter passing for argument of type 'std::map, std::pair, long long unsigned int> >::const_iterator' {aka 'std::_Rb_tree, std::pair, std::pair, long long unsigned int> >, std::_Select1st, std::pair, long long unsigned int> > >, std::less >, std::allocator, std::pair, long long unsigned int> > > >::const_iterator'} changed in GCC 7.1 638 | return _M_t._M_emplace_hint_unique(__pos, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ 639 | std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ -Wl,-z,relro -Wl,-z,now sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -latomic -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[4]: Leaving directory '/build/verilator-5.006/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /build/verilator-5.006/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/31) 6.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[3]: Leaving directory '/build/verilator-5.006/examples/make_tracing_c' make[3]: Entering directory '/build/verilator-5.006/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[3]: Leaving directory '/build/verilator-5.006/examples/make_tracing_sc' make[3]: Entering directory '/build/verilator-5.006/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[3]: Leaving directory '/build/verilator-5.006/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make[2]: Leaving directory '/build/verilator-5.006' make[1]: Leaving directory '/build/verilator-5.006' create-stamp debian/debhelper-build-stamp dh_prep dh_auto_install --destdir=debian/verilator/ make -j4 install DESTDIR=/build/verilator-5.006/debian/verilator AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/build/verilator-5.006' /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/bin ------------------------------------------------------------ /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/man/man1 /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/include/gtkwave making verilator in src make -C src make[2]: Entering directory '/build/verilator-5.006/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial mkdir /build/verilator-5.006/debian/verilator/usr mkdir /build/verilator-5.006/debian/verilator/usr make[3]: Entering directory '/build/verilator-5.006/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. mkdir /build/verilator-5.006/debian/verilator/usr/share mkdir /build/verilator-5.006/debian/verilator/usr/bin mkdir: cannot create directory '/build/verilator-5.006/debian/verilator/usr': File exists mkdir /build/verilator-5.006/debian/verilator/usr/share mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator ( cd ./bin ; /usr/bin/install -c verilator /build/verilator-5.006/debian/verilator/usr/bin/verilator ) mkdir: cannot create directory '/build/verilator-5.006/debian/verilator/usr/share': File exists mkdir /build/verilator-5.006/debian/verilator/usr/share/man mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/include mkdir /build/verilator-5.006/debian/verilator/usr/share/man/man1 ( cd ./bin ; /usr/bin/install -c verilator_coverage /build/verilator-5.006/debian/verilator/usr/bin/verilator_coverage ) mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/include/gtkwave for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -c -m 644 $p /build/verilator-5.006/debian/verilator/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/include/vltstd ( cd ./bin ; /usr/bin/install -c verilator_gantt /build/verilator-5.006/debian/verilator/usr/bin/verilator_gantt ) ( cd ./bin ; /usr/bin/install -c verilator_profcfunc /build/verilator-5.006/debian/verilator/usr/bin/verilator_profcfunc ) mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -c -m 644 $p /build/verilator-5.006/debian/verilator/usr/share/verilator/$p; \ done ( cd bin ; /usr/bin/install -c verilator_bin /build/verilator-5.006/debian/verilator/usr/bin/verilator_bin ) Installed binaries to /build/verilator-5.006/debian/verilator/usr/bin/verilator Installed man to /build/verilator-5.006/debian/verilator/usr/share/man/man1 cd . \ ; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -c -m 644 $p /build/verilator-5.006/debian/verilator/usr/share/verilator/$p; \ done Installed examples to /build/verilator-5.006/debian/verilator/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj For forums and to report bugs see https://verilator.org make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[3]: Entering directory '/build/verilator-5.006/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-5.006/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/build/verilator-5.006/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-5.006/src/obj_dbg' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-5.006/src/obj_dbg' make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-5.006/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/build/verilator-5.006/src/obj_opt' Compile flags: g++ -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -ffile-prefix-map=/build/verilator-5.006=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -O3 -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_binary make[3]: Leaving directory '/build/verilator-5.006/src/obj_opt' make[2]: Leaving directory '/build/verilator-5.006/src' mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_binary /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_c mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_sc mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_tracing_c mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_tracing_sc mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_protect_lib mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_hello_c mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_hello_sc mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_tracing_c mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_protect_lib mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/xml_py mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/bin/install -c -m 644 $p /build/verilator-5.006/debian/verilator/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/pkgconfig mkdir /build/verilator-5.006/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator.pc /build/verilator-5.006/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator-config.cmake /build/verilator-5.006/debian/verilator/usr/share/verilator /usr/bin/install -c -m 644 verilator-config-version.cmake /build/verilator-5.006/debian/verilator/usr/share/verilator ( cd bin ; /usr/bin/install -c verilator_bin_dbg /build/verilator-5.006/debian/verilator/usr/bin/verilator_bin_dbg ) ( cd bin ; /usr/bin/install -c verilator_coverage_bin_dbg /build/verilator-5.006/debian/verilator/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /build/verilator-5.006/debian/verilator/usr/share/verilator/bin mkdir /build/verilator-5.006/debian/verilator/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -c verilator_includer /build/verilator-5.006/debian/verilator/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/bin/install -c verilator_ccache_report /build/verilator-5.006/debian/verilator/usr/share/verilator/bin/verilator_ccache_report ) ( cd ./bin ; /usr/bin/install -c verilator_difftree /build/verilator-5.006/debian/verilator/usr/share/verilator/bin/verilator_difftree ) make[1]: Leaving directory '/build/verilator-5.006' dh_installdocs dh_sphinxdoc dh_installchangelogs dh_installman dh_lintian dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/build/verilator-5.006' dh_compress --exclude=examples --exclude=verilator.pdf make[1]: Leaving directory '/build/verilator-5.006' debian/rules override_dh_fixperms make[1]: Entering directory '/build/verilator-5.006' dh_fixperms # Fixing file permissions for Python based files in /usr/share/verilator/examples. for file in `find /build/verilator-5.006/debian/verilator/usr/share/verilator/examples -type f`; do \ FOUND_PYTHON=$(head -n1 $file | grep python); \ if [ "$FOUND_PYTHON" != "" ]; then \ chmod +x $file; \ else \ chmod -x $file; \ fi; \ done make[1]: Leaving directory '/build/verilator-5.006' dh_missing dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'verilator-dbgsym' in '../verilator-dbgsym_5.006-3_armhf.deb'. dpkg-deb: building package 'verilator' in '../verilator_5.006-3_armhf.deb'. dpkg-genbuildinfo --build=binary -O../verilator_5.006-3_armhf.buildinfo dpkg-genchanges --build=binary -O../verilator_5.006-3_armhf.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: user script /srv/workspace/pbuilder/22641/tmp/hooks/B01_cleanup starting I: user script /srv/workspace/pbuilder/22641/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/22641 and its subdirectories I: Current time: Tue May 16 22:06:03 +14 2023 I: pbuilder-time-stamp: 1684224363