I: pbuilder: network access will be disabled during build I: Current time: Sat Feb 15 13:04:47 +14 2025 I: pbuilder-time-stamp: 1739574287 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bullseye-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [ghdl_1.0.0+dfsg-3.dsc] I: copying [./ghdl_1.0.0+dfsg.orig.tar.xz] I: copying [./ghdl_1.0.0+dfsg-3.debian.tar.xz] I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' gpgv: keyblock resource '/tmp/dpkg-verify-sig.shapC9FW/trustedkeys.kbx': General error gpgv: Signature made Sun Apr 25 21:28:57 2021 gpgv: using RSA key FDF277140B6DF9E8CDC8A9823164705B1DA31D4C gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./ghdl_1.0.0+dfsg-3.dsc dpkg-source: info: extracting ghdl in ghdl-1.0.0+dfsg dpkg-source: info: unpacking ghdl_1.0.0+dfsg.orig.tar.xz dpkg-source: info: unpacking ghdl_1.0.0+dfsg-3.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying versioning dpkg-source: info: applying fix-build dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying clean-more I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/51659/tmp/hooks/D01_modify_environment starting debug: Running on ionos16-i386. I: Changing host+domainname to test build reproducibility I: Adding a custom variable just for the fun of it... I: Changing /bin/sh to bash '/bin/sh' -> '/bin/bash' lrwxrwxrwx 1 root root 9 Feb 14 23:04 /bin/sh -> /bin/bash I: Setting pbuilder2's login shell to /bin/bash I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other I: user script /srv/workspace/pbuilder/51659/tmp/hooks/D01_modify_environment finished I: user script /srv/workspace/pbuilder/51659/tmp/hooks/D02_print_environment starting I: set BASH=/bin/sh BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:hostcomplete:interactive_comments:progcomp:promptvars:sourcepath BASH_ALIASES=() BASH_ARGC=() BASH_ARGV=() BASH_CMDS=() BASH_LINENO=([0]="12" [1]="0") BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") BASH_VERSINFO=([0]="5" [1]="1" [2]="4" [3]="1" [4]="release" [5]="i686-pc-linux-gnu") BASH_VERSION='5.1.4(1)-release' BUILDDIR=/build/reproducible-path BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' BUILDUSERNAME=pbuilder2 BUILD_ARCH=i386 DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all,-fixfilepath parallel=15 ' DIRSTACK=() DISTRIBUTION=bullseye EUID=0 FUNCNAME=([0]="Echo" [1]="main") GROUPS=() HOME=/root HOSTNAME=i-capture-the-hostname HOSTTYPE=i686 HOST_ARCH=i386 IFS=' ' INVOCATION_ID=8f6f09bdacc44877bf979ae0307f35ab LANG=C LANGUAGE=de_CH:de LC_ALL=C LD_LIBRARY_PATH=/usr/lib/libeatmydata LD_PRELOAD=libeatmydata.so MACHTYPE=i686-pc-linux-gnu MAIL=/var/mail/root OPTERR=1 OPTIND=1 OSTYPE=linux-gnu PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path PBCURRENTCOMMANDLINEOPERATION=build PBUILDER_OPERATION=build PBUILDER_PKGDATADIR=/usr/share/pbuilder PBUILDER_PKGLIBDIR=/usr/lib/pbuilder PBUILDER_SYSCONFDIR=/etc PIPESTATUS=([0]="0") POSIXLY_CORRECT=y PPID=51659 PS4='+ ' PWD=/ SHELL=/bin/bash SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix SHLVL=3 SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.DYPrQuTi/pbuilderrc_6GVz --distribution bullseye --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bullseye-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.DYPrQuTi/b2 --logfile b2/build.log ghdl_1.0.0+dfsg-3.dsc' SUDO_GID=112 SUDO_UID=107 SUDO_USER=jenkins TERM=unknown TZ=/usr/share/zoneinfo/Etc/GMT-14 UID=0 USER=root _='I: set' http_proxy=http://85.184.249.68:3128 I: uname -a Linux i-capture-the-hostname 6.1.0-17-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.69-1 (2023-12-30) x86_64 GNU/Linux I: ls -l /bin total 5776 -rwxr-xr-x 1 root root 1367848 Mar 27 2022 bash -rwxr-xr-x 3 root root 38280 Jul 20 2020 bunzip2 -rwxr-xr-x 3 root root 38280 Jul 20 2020 bzcat lrwxrwxrwx 1 root root 6 Jul 20 2020 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Jul 20 2020 bzdiff lrwxrwxrwx 1 root root 6 Jul 20 2020 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4877 Sep 4 2019 bzexe lrwxrwxrwx 1 root root 6 Jul 20 2020 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Jul 20 2020 bzgrep -rwxr-xr-x 3 root root 38280 Jul 20 2020 bzip2 -rwxr-xr-x 1 root root 17768 Jul 20 2020 bzip2recover lrwxrwxrwx 1 root root 6 Jul 20 2020 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Jul 20 2020 bzmore -rwxr-xr-x 1 root root 38824 Sep 22 2020 cat -rwxr-xr-x 1 root root 71624 Sep 22 2020 chgrp -rwxr-xr-x 1 root root 67528 Sep 22 2020 chmod -rwxr-xr-x 1 root root 75752 Sep 22 2020 chown -rwxr-xr-x 1 root root 157960 Sep 22 2020 cp -rwxr-xr-x 1 root root 128724 Dec 10 2020 dash -rwxr-xr-x 1 root root 124904 Sep 22 2020 date -rwxr-xr-x 1 root root 92172 Sep 22 2020 dd -rwxr-xr-x 1 root root 100752 Sep 22 2020 df -rwxr-xr-x 1 root root 153964 Sep 22 2020 dir -rwxr-xr-x 1 root root 83644 Jan 20 2022 dmesg lrwxrwxrwx 1 root root 8 Nov 7 2019 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Nov 7 2019 domainname -> hostname -rwxr-xr-x 1 root root 34664 Sep 22 2020 echo -rwxr-xr-x 1 root root 28 Jan 25 2023 egrep -rwxr-xr-x 1 root root 34664 Sep 22 2020 false -rwxr-xr-x 1 root root 28 Jan 25 2023 fgrep -rwxr-xr-x 1 root root 71928 Jan 20 2022 findmnt -rwsr-xr-x 1 root root 30112 Feb 26 2021 fusermount -rwxr-xr-x 1 root root 210488 Jan 25 2023 grep -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe -rwxr-xr-x 1 root root 100952 Apr 10 2022 gzip -rwxr-xr-x 1 root root 21916 Nov 7 2019 hostname -rwxr-xr-x 1 root root 83980 Sep 22 2020 ln -rwxr-xr-x 1 root root 55572 Feb 7 2020 login -rwxr-xr-x 1 root root 153964 Sep 22 2020 ls -rwxr-xr-x 1 root root 153124 Jan 20 2022 lsblk -rwxr-xr-x 1 root root 96328 Sep 22 2020 mkdir -rwxr-xr-x 1 root root 79912 Sep 22 2020 mknod -rwxr-xr-x 1 root root 47048 Sep 22 2020 mktemp -rwxr-xr-x 1 root root 58920 Jan 20 2022 more -rwsr-xr-x 1 root root 50720 Jan 20 2022 mount -rwxr-xr-x 1 root root 13856 Jan 20 2022 mountpoint -rwxr-xr-x 1 root root 157996 Sep 22 2020 mv lrwxrwxrwx 1 root root 8 Nov 7 2019 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Dec 16 2021 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 38824 Sep 22 2020 pwd lrwxrwxrwx 1 root root 4 Mar 27 2022 rbash -> bash -rwxr-xr-x 1 root root 46984 Sep 22 2020 readlink -rwxr-xr-x 1 root root 75720 Sep 22 2020 rm -rwxr-xr-x 1 root root 46984 Sep 22 2020 rmdir -rwxr-xr-x 1 root root 22292 Sep 27 2020 run-parts -rwxr-xr-x 1 root root 125036 Dec 22 2018 sed lrwxrwxrwx 1 root root 9 Feb 14 23:04 sh -> /bin/bash -rwxr-xr-x 1 root root 34696 Sep 22 2020 sleep -rwxr-xr-x 1 root root 83880 Sep 22 2020 stty -rwsr-xr-x 1 root root 79396 Jan 20 2022 su -rwxr-xr-x 1 root root 34696 Sep 22 2020 sync -rwxr-xr-x 1 root root 602584 Feb 17 2021 tar -rwxr-xr-x 1 root root 13860 Sep 27 2020 tempfile -rwxr-xr-x 1 root root 108520 Sep 22 2020 touch -rwxr-xr-x 1 root root 34664 Sep 22 2020 true -rwxr-xr-x 1 root root 17768 Feb 26 2021 ulockmgr_server -rwsr-xr-x 1 root root 30236 Jan 20 2022 umount -rwxr-xr-x 1 root root 34664 Sep 22 2020 uname -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress -rwxr-xr-x 1 root root 153964 Sep 22 2020 vdir -rwxr-xr-x 1 root root 63024 Jan 20 2022 wdctl lrwxrwxrwx 1 root root 8 Nov 7 2019 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp -rwxr-xr-x 1 root root 5898 Apr 10 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce -rwxr-xr-x 1 root root 8049 Apr 10 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew I: user script /srv/workspace/pbuilder/51659/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), gnat-10, gcc-10-source, libisl-dev (>= 0.14), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), llvm-dev (>= 1:3.5), clang (>= 1:3.5), zlib1g-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 17763 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on gnat-10; however: Package gnat-10 is not installed. pbuilder-satisfydepends-dummy depends on gcc-10-source; however: Package gcc-10-source is not installed. pbuilder-satisfydepends-dummy depends on libisl-dev (>= 0.14); however: Package libisl-dev is not installed. pbuilder-satisfydepends-dummy depends on libmpc-dev (>= 1.0); however: Package libmpc-dev is not installed. pbuilder-satisfydepends-dummy depends on libmpfr-dev (>= 3.0.0-9~); however: Package libmpfr-dev is not installed. pbuilder-satisfydepends-dummy depends on libgmp-dev (>= 2:5.0.1~); however: Package libgmp-dev is not installed. pbuilder-satisfydepends-dummy depends on llvm-dev (>= 1:3.5); however: Package llvm-dev is not installed. pbuilder-satisfydepends-dummy depends on clang (>= 1:3.5); however: Package clang is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} clang{a} clang-11{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} diffstat{a} distro-info-data{a} dwz{a} ed{a} file{a} gawk{a} gcc-10-source{a} gettext{a} gettext-base{a} gnat-10{a} groff-base{a} intltool-debian{a} lib64atomic1{a} lib64gcc-s1{a} lib64stdc++6{a} libarchive-zip-perl{a} libbsd0{a} libc6-amd64{a} libclang-common-11-dev{a} libclang-cpp11{a} libclang1-11{a} libdebhelper-perl{a} libedit2{a} libelf1{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libgc1{a} libgmp-dev{a} libgmpxx4ldbl{a} libgnat-10{a} libgnat-util10{a} libicu67{a} libisl-dev{a} libllvm11{a} libmagic-mgc{a} libmagic1{a} libmd0{a} libmpc-dev{a} libmpdec3{a} libmpfr-dev{a} libncurses-dev{a} libncurses6{a} libobjc-10-dev{a} libobjc4{a} libpfm4{a} libpipeline1{a} libpython3-stdlib{a} libpython3.9-minimal{a} libpython3.9-stdlib{a} libreadline8{a} libsigsegv2{a} libsub-override-perl{a} libtinfo-dev{a} libtool{a} libuchardet0{a} libxml2{a} libyaml-0-2{a} libz3-4{a} libz3-dev{a} llvm{a} llvm-11{a} llvm-11-dev{a} llvm-11-runtime{a} llvm-11-tools{a} llvm-dev{a} llvm-runtime{a} lsb-release{a} m4{a} man-db{a} media-types{a} patchutils{a} po-debconf{a} python3{a} python3-minimal{a} python3-pkg-resources{a} python3-pygments{a} python3-yaml{a} python3.9{a} python3.9-minimal{a} quilt{a} readline-common{a} sensible-utils{a} sharutils{a} time{a} tzdata{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: binfmt-support ca-certificates curl less libarchive-cpio-perl libgpm2 libltdl-dev libmail-sendmail-perl libomp-11-dev lynx wget 0 packages upgraded, 97 newly installed, 0 to remove and 0 not upgraded. Need to get 216 MB of archives. After unpacking 767 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bullseye/main i386 bsdextrautils i386 2.36.1-8+deb11u1 [149 kB] Get: 2 http://deb.debian.org/debian bullseye/main i386 libuchardet0 i386 0.0.7-1 [67.9 kB] Get: 3 http://deb.debian.org/debian bullseye/main i386 groff-base i386 1.22.4-6 [952 kB] Get: 4 http://deb.debian.org/debian bullseye/main i386 libpipeline1 i386 1.5.3-1 [36.8 kB] Get: 5 http://deb.debian.org/debian bullseye/main i386 man-db i386 2.9.4-2 [1367 kB] Get: 6 http://deb.debian.org/debian bullseye/main i386 readline-common all 8.1-1 [73.7 kB] Get: 7 http://deb.debian.org/debian bullseye/main i386 libreadline8 i386 8.1-1 [173 kB] Get: 8 http://deb.debian.org/debian bullseye/main i386 libsigsegv2 i386 2.13-1 [35.1 kB] Get: 9 http://deb.debian.org/debian bullseye/main i386 gawk i386 1:5.1.0-1 [618 kB] Get: 10 http://deb.debian.org/debian bullseye/main i386 libpython3.9-minimal i386 3.9.2-1 [801 kB] Get: 11 http://deb.debian.org/debian bullseye/main i386 libexpat1 i386 2.2.10-2+deb11u5 [101 kB] Get: 12 http://deb.debian.org/debian bullseye/main i386 python3.9-minimal i386 3.9.2-1 [1956 kB] Get: 13 http://deb.debian.org/debian bullseye/main i386 python3-minimal i386 3.9.2-3 [38.2 kB] Get: 14 http://deb.debian.org/debian bullseye/main i386 media-types all 4.0.0 [30.3 kB] Get: 15 http://deb.debian.org/debian bullseye/main i386 tzdata all 2021a-1+deb11u10 [286 kB] Get: 16 http://deb.debian.org/debian bullseye/main i386 libmpdec3 i386 2.5.1-1 [91.9 kB] Get: 17 http://deb.debian.org/debian bullseye/main i386 libpython3.9-stdlib i386 3.9.2-1 [1703 kB] Get: 18 http://deb.debian.org/debian bullseye/main i386 python3.9 i386 3.9.2-1 [466 kB] Get: 19 http://deb.debian.org/debian bullseye/main i386 libpython3-stdlib i386 3.9.2-3 [21.4 kB] Get: 20 http://deb.debian.org/debian bullseye/main i386 python3 i386 3.9.2-3 [37.9 kB] Get: 21 http://deb.debian.org/debian bullseye/main i386 sensible-utils all 0.0.14 [14.8 kB] Get: 22 http://deb.debian.org/debian bullseye/main i386 libmagic-mgc i386 1:5.39-3+deb11u1 [273 kB] Get: 23 http://deb.debian.org/debian bullseye/main i386 libmagic1 i386 1:5.39-3+deb11u1 [135 kB] Get: 24 http://deb.debian.org/debian bullseye/main i386 file i386 1:5.39-3+deb11u1 [69.2 kB] Get: 25 http://deb.debian.org/debian bullseye/main i386 gettext-base i386 0.21-4 [176 kB] Get: 26 http://deb.debian.org/debian bullseye/main i386 m4 i386 1.4.18-5 [206 kB] Get: 27 http://deb.debian.org/debian bullseye/main i386 autoconf all 2.69-14 [313 kB] Get: 28 http://deb.debian.org/debian bullseye/main i386 autotools-dev all 20180224.1+nmu1 [77.1 kB] Get: 29 http://deb.debian.org/debian bullseye/main i386 automake all 1:1.16.3-2 [814 kB] Get: 30 http://deb.debian.org/debian bullseye/main i386 autopoint all 0.21-4 [510 kB] Get: 31 http://deb.debian.org/debian bullseye/main i386 libmd0 i386 1.0.3-3 [28.9 kB] Get: 32 http://deb.debian.org/debian bullseye/main i386 libbsd0 i386 0.11.3-1+deb11u1 [111 kB] Get: 33 http://deb.debian.org/debian bullseye/main i386 libedit2 i386 3.1-20191231-2+b1 [101 kB] Get: 34 http://deb.debian.org/debian bullseye/main i386 libz3-4 i386 4.8.10-1 [7737 kB] Get: 35 http://deb.debian.org/debian bullseye/main i386 libllvm11 i386 1:11.0.1-2 [17.7 MB] Get: 36 http://deb.debian.org/debian bullseye/main i386 libclang-cpp11 i386 1:11.0.1-2 [9562 kB] Get: 37 http://deb.debian.org/debian bullseye/main i386 libgc1 i386 1:8.0.4-3 [242 kB] Get: 38 http://deb.debian.org/debian bullseye/main i386 libobjc4 i386 10.2.1-6 [45.0 kB] Get: 39 http://deb.debian.org/debian bullseye/main i386 libobjc-10-dev i386 10.2.1-6 [163 kB] Get: 40 http://deb.debian.org/debian bullseye/main i386 libc6-amd64 i386 2.31-13+deb11u6 [2682 kB] Get: 41 http://deb.debian.org/debian bullseye/main i386 lib64atomic1 i386 10.2.1-6 [8992 B] Get: 42 http://deb.debian.org/debian bullseye/main i386 lib64gcc-s1 i386 10.2.1-6 [41.2 kB] Get: 43 http://deb.debian.org/debian bullseye/main i386 lib64stdc++6 i386 10.2.1-6 [476 kB] Get: 44 http://deb.debian.org/debian bullseye/main i386 libclang-common-11-dev i386 1:11.0.1-2 [4844 kB] Get: 45 http://deb.debian.org/debian bullseye/main i386 libclang1-11 i386 1:11.0.1-2 [5429 kB] Get: 46 http://deb.debian.org/debian bullseye/main i386 clang-11 i386 1:11.0.1-2 [109 kB] Get: 47 http://deb.debian.org/debian bullseye/main i386 clang i386 1:11.0-51+nmu5 [8872 B] Get: 48 http://deb.debian.org/debian bullseye/main i386 libdebhelper-perl all 13.3.4 [189 kB] Get: 49 http://deb.debian.org/debian bullseye/main i386 libtool all 2.4.6-15 [513 kB] Get: 50 http://deb.debian.org/debian bullseye/main i386 dh-autoreconf all 20 [17.1 kB] Get: 51 http://deb.debian.org/debian bullseye/main i386 libarchive-zip-perl all 1.68-1 [104 kB] Get: 52 http://deb.debian.org/debian bullseye/main i386 libsub-override-perl all 0.09-2 [10.2 kB] Get: 53 http://deb.debian.org/debian bullseye/main i386 libfile-stripnondeterminism-perl all 1.12.0-1 [26.3 kB] Get: 54 http://deb.debian.org/debian bullseye/main i386 dh-strip-nondeterminism all 1.12.0-1 [15.4 kB] Get: 55 http://deb.debian.org/debian bullseye/main i386 libelf1 i386 0.183-1 [171 kB] Get: 56 http://deb.debian.org/debian bullseye/main i386 dwz i386 0.13+20210201-1 [179 kB] Get: 57 http://deb.debian.org/debian bullseye/main i386 libicu67 i386 67.1-7 [8775 kB] Get: 58 http://deb.debian.org/debian bullseye/main i386 libxml2 i386 2.9.10+dfsg-6.7+deb11u4 [728 kB] Get: 59 http://deb.debian.org/debian bullseye/main i386 gettext i386 0.21-4 [1322 kB] Get: 60 http://deb.debian.org/debian bullseye/main i386 intltool-debian all 0.35.0+20060710.5 [26.8 kB] Get: 61 http://deb.debian.org/debian bullseye/main i386 po-debconf all 1.0.21+nmu1 [248 kB] Get: 62 http://deb.debian.org/debian bullseye/main i386 debhelper all 13.3.4 [1049 kB] Get: 63 http://deb.debian.org/debian bullseye/main i386 diffstat i386 1.64-1 [37.1 kB] Get: 64 http://deb.debian.org/debian bullseye/main i386 distro-info-data all 0.51+deb11u4 [8048 B] Get: 65 http://deb.debian.org/debian bullseye/main i386 ed i386 1.17-1 [61.2 kB] Get: 66 http://deb.debian.org/debian bullseye/main i386 quilt all 0.66-2.1 [319 kB] Get: 67 http://deb.debian.org/debian bullseye/main i386 patchutils i386 0.4.2-1 [79.6 kB] Get: 68 http://deb.debian.org/debian bullseye/main i386 sharutils i386 1:4.15.2-5 [268 kB] Get: 69 http://deb.debian.org/debian bullseye/main i386 lsb-release all 11.1.0 [27.9 kB] Get: 70 http://deb.debian.org/debian bullseye/main i386 time i386 1.9-0.1 [50.9 kB] Get: 71 http://deb.debian.org/debian bullseye/main i386 gcc-10-source all 10.2.1-6 [81.8 MB] Get: 72 http://deb.debian.org/debian bullseye/main i386 libgnat-10 i386 10.2.1-6 [1140 kB] Get: 73 http://deb.debian.org/debian bullseye/main i386 libgnat-util10 i386 10.2.1-6 [391 kB] Get: 74 http://deb.debian.org/debian bullseye/main i386 gnat-10 i386 10.2.1-6 [16.2 MB] Get: 75 http://deb.debian.org/debian bullseye/main i386 libffi-dev i386 3.3-6 [54.7 kB] Get: 76 http://deb.debian.org/debian bullseye/main i386 libgmpxx4ldbl i386 2:6.2.1+dfsg-1+deb11u1 [338 kB] Get: 77 http://deb.debian.org/debian bullseye/main i386 libgmp-dev i386 2:6.2.1+dfsg-1+deb11u1 [658 kB] Get: 78 http://deb.debian.org/debian bullseye/main i386 libisl-dev i386 0.23-1 [883 kB] Get: 79 http://deb.debian.org/debian bullseye/main i386 libmpfr-dev i386 4.1.0-3 [260 kB] Get: 80 http://deb.debian.org/debian bullseye/main i386 libmpc-dev i386 1.2.0-1 [62.4 kB] Get: 81 http://deb.debian.org/debian bullseye/main i386 libncurses6 i386 6.2+20201114-2+deb11u2 [110 kB] Get: 82 http://deb.debian.org/debian bullseye/main i386 libncurses-dev i386 6.2+20201114-2+deb11u2 [373 kB] Get: 83 http://deb.debian.org/debian bullseye/main i386 libpfm4 i386 4.11.1+git32-gd0b85fb-1 [235 kB] Get: 84 http://deb.debian.org/debian bullseye/main i386 libtinfo-dev i386 6.2+20201114-2+deb11u2 [936 B] Get: 85 http://deb.debian.org/debian bullseye/main i386 libyaml-0-2 i386 0.2.2-1 [51.7 kB] Get: 86 http://deb.debian.org/debian bullseye/main i386 libz3-dev i386 4.8.10-1 [90.8 kB] Get: 87 http://deb.debian.org/debian bullseye/main i386 llvm-11-runtime i386 1:11.0.1-2 [200 kB] Get: 88 http://deb.debian.org/debian bullseye/main i386 llvm-runtime i386 1:11.0-51+nmu5 [8532 B] Get: 89 http://deb.debian.org/debian bullseye/main i386 llvm-11 i386 1:11.0.1-2 [8580 kB] Get: 90 http://deb.debian.org/debian bullseye/main i386 llvm i386 1:11.0-51+nmu5 [10.7 kB] Get: 91 http://deb.debian.org/debian bullseye/main i386 python3-pkg-resources all 52.0.0-4 [190 kB] Get: 92 http://deb.debian.org/debian bullseye/main i386 python3-pygments all 2.7.1+dfsg-2.1 [657 kB] Get: 93 http://deb.debian.org/debian bullseye/main i386 python3-yaml i386 5.3.1-5 [127 kB] Get: 94 http://deb.debian.org/debian bullseye/main i386 llvm-11-tools i386 1:11.0.1-2 [362 kB] Get: 95 http://deb.debian.org/debian bullseye/main i386 llvm-11-dev i386 1:11.0.1-2 [27.3 MB] Get: 96 http://deb.debian.org/debian bullseye/main i386 llvm-dev i386 1:11.0-51+nmu5 [8788 B] Get: 97 http://deb.debian.org/debian bullseye/main i386 zlib1g-dev i386 1:1.2.11.dfsg-2+deb11u2 [194 kB] Fetched 216 MB in 4s (51.4 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package bsdextrautils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 17763 files and directories currently installed.) Preparing to unpack .../0-bsdextrautils_2.36.1-8+deb11u1_i386.deb ... Unpacking bsdextrautils (2.36.1-8+deb11u1) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../1-libuchardet0_0.0.7-1_i386.deb ... Unpacking libuchardet0:i386 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../2-groff-base_1.22.4-6_i386.deb ... Unpacking groff-base (1.22.4-6) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../3-libpipeline1_1.5.3-1_i386.deb ... Unpacking libpipeline1:i386 (1.5.3-1) ... Selecting previously unselected package man-db. Preparing to unpack .../4-man-db_2.9.4-2_i386.deb ... Unpacking man-db (2.9.4-2) ... Selecting previously unselected package readline-common. Preparing to unpack .../5-readline-common_8.1-1_all.deb ... Unpacking readline-common (8.1-1) ... Selecting previously unselected package libreadline8:i386. Preparing to unpack .../6-libreadline8_8.1-1_i386.deb ... Unpacking libreadline8:i386 (8.1-1) ... Selecting previously unselected package libsigsegv2:i386. Preparing to unpack .../7-libsigsegv2_2.13-1_i386.deb ... Unpacking libsigsegv2:i386 (2.13-1) ... Setting up readline-common (8.1-1) ... Setting up libreadline8:i386 (8.1-1) ... Setting up libsigsegv2:i386 (2.13-1) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18369 files and directories currently installed.) Preparing to unpack .../gawk_1%3a5.1.0-1_i386.deb ... Unpacking gawk (1:5.1.0-1) ... Selecting previously unselected package libpython3.9-minimal:i386. Preparing to unpack .../libpython3.9-minimal_3.9.2-1_i386.deb ... Unpacking libpython3.9-minimal:i386 (3.9.2-1) ... Selecting previously unselected package libexpat1:i386. Preparing to unpack .../libexpat1_2.2.10-2+deb11u5_i386.deb ... Unpacking libexpat1:i386 (2.2.10-2+deb11u5) ... Selecting previously unselected package python3.9-minimal. Preparing to unpack .../python3.9-minimal_3.9.2-1_i386.deb ... Unpacking python3.9-minimal (3.9.2-1) ... Setting up libpython3.9-minimal:i386 (3.9.2-1) ... Setting up libexpat1:i386 (2.2.10-2+deb11u5) ... Setting up python3.9-minimal (3.9.2-1) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18838 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.9.2-3_i386.deb ... Unpacking python3-minimal (3.9.2-3) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_4.0.0_all.deb ... Unpacking media-types (4.0.0) ... Selecting previously unselected package tzdata. Preparing to unpack .../2-tzdata_2021a-1+deb11u10_all.deb ... Unpacking tzdata (2021a-1+deb11u10) ... Selecting previously unselected package libmpdec3:i386. Preparing to unpack .../3-libmpdec3_2.5.1-1_i386.deb ... Unpacking libmpdec3:i386 (2.5.1-1) ... Selecting previously unselected package libpython3.9-stdlib:i386. Preparing to unpack .../4-libpython3.9-stdlib_3.9.2-1_i386.deb ... Unpacking libpython3.9-stdlib:i386 (3.9.2-1) ... Selecting previously unselected package python3.9. Preparing to unpack .../5-python3.9_3.9.2-1_i386.deb ... Unpacking python3.9 (3.9.2-1) ... Selecting previously unselected package libpython3-stdlib:i386. Preparing to unpack .../6-libpython3-stdlib_3.9.2-3_i386.deb ... Unpacking libpython3-stdlib:i386 (3.9.2-3) ... Setting up python3-minimal (3.9.2-3) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 21097 files and directories currently installed.) Preparing to unpack .../00-python3_3.9.2-3_i386.deb ... Unpacking python3 (3.9.2-3) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../01-sensible-utils_0.0.14_all.deb ... Unpacking sensible-utils (0.0.14) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../02-libmagic-mgc_1%3a5.39-3+deb11u1_i386.deb ... Unpacking libmagic-mgc (1:5.39-3+deb11u1) ... Selecting previously unselected package libmagic1:i386. Preparing to unpack .../03-libmagic1_1%3a5.39-3+deb11u1_i386.deb ... Unpacking libmagic1:i386 (1:5.39-3+deb11u1) ... Selecting previously unselected package file. Preparing to unpack .../04-file_1%3a5.39-3+deb11u1_i386.deb ... Unpacking file (1:5.39-3+deb11u1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../05-gettext-base_0.21-4_i386.deb ... Unpacking gettext-base (0.21-4) ... Selecting previously unselected package m4. Preparing to unpack .../06-m4_1.4.18-5_i386.deb ... Unpacking m4 (1.4.18-5) ... Selecting previously unselected package autoconf. Preparing to unpack .../07-autoconf_2.69-14_all.deb ... Unpacking autoconf (2.69-14) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../08-autotools-dev_20180224.1+nmu1_all.deb ... Unpacking autotools-dev (20180224.1+nmu1) ... Selecting previously unselected package automake. Preparing to unpack .../09-automake_1%3a1.16.3-2_all.deb ... Unpacking automake (1:1.16.3-2) ... Selecting previously unselected package autopoint. Preparing to unpack .../10-autopoint_0.21-4_all.deb ... Unpacking autopoint (0.21-4) ... Selecting previously unselected package libmd0:i386. Preparing to unpack .../11-libmd0_1.0.3-3_i386.deb ... Unpacking libmd0:i386 (1.0.3-3) ... Selecting previously unselected package libbsd0:i386. Preparing to unpack .../12-libbsd0_0.11.3-1+deb11u1_i386.deb ... Unpacking libbsd0:i386 (0.11.3-1+deb11u1) ... Selecting previously unselected package libedit2:i386. Preparing to unpack .../13-libedit2_3.1-20191231-2+b1_i386.deb ... Unpacking libedit2:i386 (3.1-20191231-2+b1) ... Selecting previously unselected package libz3-4:i386. Preparing to unpack .../14-libz3-4_4.8.10-1_i386.deb ... Unpacking libz3-4:i386 (4.8.10-1) ... Selecting previously unselected package libllvm11:i386. Preparing to unpack .../15-libllvm11_1%3a11.0.1-2_i386.deb ... Unpacking libllvm11:i386 (1:11.0.1-2) ... Selecting previously unselected package libclang-cpp11. Preparing to unpack .../16-libclang-cpp11_1%3a11.0.1-2_i386.deb ... Unpacking libclang-cpp11 (1:11.0.1-2) ... Selecting previously unselected package libgc1:i386. Preparing to unpack .../17-libgc1_1%3a8.0.4-3_i386.deb ... Unpacking libgc1:i386 (1:8.0.4-3) ... Selecting previously unselected package libobjc4:i386. Preparing to unpack .../18-libobjc4_10.2.1-6_i386.deb ... Unpacking libobjc4:i386 (10.2.1-6) ... Selecting previously unselected package libobjc-10-dev:i386. Preparing to unpack .../19-libobjc-10-dev_10.2.1-6_i386.deb ... Unpacking libobjc-10-dev:i386 (10.2.1-6) ... Selecting previously unselected package libc6-amd64. Preparing to unpack .../20-libc6-amd64_2.31-13+deb11u6_i386.deb ... Unpacking libc6-amd64 (2.31-13+deb11u6) ... Selecting previously unselected package lib64atomic1. Preparing to unpack .../21-lib64atomic1_10.2.1-6_i386.deb ... Unpacking lib64atomic1 (10.2.1-6) ... Selecting previously unselected package lib64gcc-s1. Preparing to unpack .../22-lib64gcc-s1_10.2.1-6_i386.deb ... Unpacking lib64gcc-s1 (10.2.1-6) ... Selecting previously unselected package lib64stdc++6. Preparing to unpack .../23-lib64stdc++6_10.2.1-6_i386.deb ... Unpacking lib64stdc++6 (10.2.1-6) ... Selecting previously unselected package libclang-common-11-dev. Preparing to unpack .../24-libclang-common-11-dev_1%3a11.0.1-2_i386.deb ... Unpacking libclang-common-11-dev (1:11.0.1-2) ... Selecting previously unselected package libclang1-11. Preparing to unpack .../25-libclang1-11_1%3a11.0.1-2_i386.deb ... Unpacking libclang1-11 (1:11.0.1-2) ... Selecting previously unselected package clang-11. Preparing to unpack .../26-clang-11_1%3a11.0.1-2_i386.deb ... Unpacking clang-11 (1:11.0.1-2) ... Selecting previously unselected package clang. Preparing to unpack .../27-clang_1%3a11.0-51+nmu5_i386.deb ... Unpacking clang (1:11.0-51+nmu5) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../28-libdebhelper-perl_13.3.4_all.deb ... Unpacking libdebhelper-perl (13.3.4) ... Selecting previously unselected package libtool. Preparing to unpack .../29-libtool_2.4.6-15_all.deb ... Unpacking libtool (2.4.6-15) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../30-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../31-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../32-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../33-libfile-stripnondeterminism-perl_1.12.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.12.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../34-dh-strip-nondeterminism_1.12.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.12.0-1) ... Selecting previously unselected package libelf1:i386. Preparing to unpack .../35-libelf1_0.183-1_i386.deb ... Unpacking libelf1:i386 (0.183-1) ... Selecting previously unselected package dwz. Preparing to unpack .../36-dwz_0.13+20210201-1_i386.deb ... Unpacking dwz (0.13+20210201-1) ... Selecting previously unselected package libicu67:i386. Preparing to unpack .../37-libicu67_67.1-7_i386.deb ... Unpacking libicu67:i386 (67.1-7) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../38-libxml2_2.9.10+dfsg-6.7+deb11u4_i386.deb ... Unpacking libxml2:i386 (2.9.10+dfsg-6.7+deb11u4) ... Selecting previously unselected package gettext. Preparing to unpack .../39-gettext_0.21-4_i386.deb ... Unpacking gettext (0.21-4) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../40-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../41-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../42-debhelper_13.3.4_all.deb ... Unpacking debhelper (13.3.4) ... Selecting previously unselected package diffstat. Preparing to unpack .../43-diffstat_1.64-1_i386.deb ... Unpacking diffstat (1.64-1) ... Selecting previously unselected package distro-info-data. Preparing to unpack .../44-distro-info-data_0.51+deb11u4_all.deb ... Unpacking distro-info-data (0.51+deb11u4) ... Selecting previously unselected package ed. Preparing to unpack .../45-ed_1.17-1_i386.deb ... Unpacking ed (1.17-1) ... Selecting previously unselected package quilt. Preparing to unpack .../46-quilt_0.66-2.1_all.deb ... Unpacking quilt (0.66-2.1) ... Selecting previously unselected package patchutils. Preparing to unpack .../47-patchutils_0.4.2-1_i386.deb ... Unpacking patchutils (0.4.2-1) ... Selecting previously unselected package sharutils. Preparing to unpack .../48-sharutils_1%3a4.15.2-5_i386.deb ... Unpacking sharutils (1:4.15.2-5) ... Selecting previously unselected package lsb-release. Preparing to unpack .../49-lsb-release_11.1.0_all.deb ... Unpacking lsb-release (11.1.0) ... Selecting previously unselected package time. Preparing to unpack .../50-time_1.9-0.1_i386.deb ... Unpacking time (1.9-0.1) ... Selecting previously unselected package gcc-10-source. Preparing to unpack .../51-gcc-10-source_10.2.1-6_all.deb ... Unpacking gcc-10-source (10.2.1-6) ... Selecting previously unselected package libgnat-10:i386. Preparing to unpack .../52-libgnat-10_10.2.1-6_i386.deb ... Unpacking libgnat-10:i386 (10.2.1-6) ... Selecting previously unselected package libgnat-util10:i386. Preparing to unpack .../53-libgnat-util10_10.2.1-6_i386.deb ... Unpacking libgnat-util10:i386 (10.2.1-6) ... Selecting previously unselected package gnat-10. Preparing to unpack .../54-gnat-10_10.2.1-6_i386.deb ... Unpacking gnat-10 (10.2.1-6) ... Selecting previously unselected package libffi-dev:i386. Preparing to unpack .../55-libffi-dev_3.3-6_i386.deb ... Unpacking libffi-dev:i386 (3.3-6) ... Selecting previously unselected package libgmpxx4ldbl:i386. Preparing to unpack .../56-libgmpxx4ldbl_2%3a6.2.1+dfsg-1+deb11u1_i386.deb ... Unpacking libgmpxx4ldbl:i386 (2:6.2.1+dfsg-1+deb11u1) ... Selecting previously unselected package libgmp-dev:i386. Preparing to unpack .../57-libgmp-dev_2%3a6.2.1+dfsg-1+deb11u1_i386.deb ... Unpacking libgmp-dev:i386 (2:6.2.1+dfsg-1+deb11u1) ... Selecting previously unselected package libisl-dev:i386. Preparing to unpack .../58-libisl-dev_0.23-1_i386.deb ... Unpacking libisl-dev:i386 (0.23-1) ... Selecting previously unselected package libmpfr-dev:i386. Preparing to unpack .../59-libmpfr-dev_4.1.0-3_i386.deb ... Unpacking libmpfr-dev:i386 (4.1.0-3) ... Selecting previously unselected package libmpc-dev:i386. Preparing to unpack .../60-libmpc-dev_1.2.0-1_i386.deb ... Unpacking libmpc-dev:i386 (1.2.0-1) ... Selecting previously unselected package libncurses6:i386. Preparing to unpack .../61-libncurses6_6.2+20201114-2+deb11u2_i386.deb ... Unpacking libncurses6:i386 (6.2+20201114-2+deb11u2) ... Selecting previously unselected package libncurses-dev:i386. Preparing to unpack .../62-libncurses-dev_6.2+20201114-2+deb11u2_i386.deb ... Unpacking libncurses-dev:i386 (6.2+20201114-2+deb11u2) ... Selecting previously unselected package libpfm4:i386. Preparing to unpack .../63-libpfm4_4.11.1+git32-gd0b85fb-1_i386.deb ... Unpacking libpfm4:i386 (4.11.1+git32-gd0b85fb-1) ... Selecting previously unselected package libtinfo-dev:i386. Preparing to unpack .../64-libtinfo-dev_6.2+20201114-2+deb11u2_i386.deb ... Unpacking libtinfo-dev:i386 (6.2+20201114-2+deb11u2) ... Selecting previously unselected package libyaml-0-2:i386. Preparing to unpack .../65-libyaml-0-2_0.2.2-1_i386.deb ... Unpacking libyaml-0-2:i386 (0.2.2-1) ... Selecting previously unselected package libz3-dev:i386. Preparing to unpack .../66-libz3-dev_4.8.10-1_i386.deb ... Unpacking libz3-dev:i386 (4.8.10-1) ... Selecting previously unselected package llvm-11-runtime. Preparing to unpack .../67-llvm-11-runtime_1%3a11.0.1-2_i386.deb ... Unpacking llvm-11-runtime (1:11.0.1-2) ... Selecting previously unselected package llvm-runtime. Preparing to unpack .../68-llvm-runtime_1%3a11.0-51+nmu5_i386.deb ... Unpacking llvm-runtime (1:11.0-51+nmu5) ... Selecting previously unselected package llvm-11. Preparing to unpack .../69-llvm-11_1%3a11.0.1-2_i386.deb ... Unpacking llvm-11 (1:11.0.1-2) ... Selecting previously unselected package llvm. Preparing to unpack .../70-llvm_1%3a11.0-51+nmu5_i386.deb ... Unpacking llvm (1:11.0-51+nmu5) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../71-python3-pkg-resources_52.0.0-4_all.deb ... Unpacking python3-pkg-resources (52.0.0-4) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../72-python3-pygments_2.7.1+dfsg-2.1_all.deb ... Unpacking python3-pygments (2.7.1+dfsg-2.1) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../73-python3-yaml_5.3.1-5_i386.deb ... Unpacking python3-yaml (5.3.1-5) ... Selecting previously unselected package llvm-11-tools. Preparing to unpack .../74-llvm-11-tools_1%3a11.0.1-2_i386.deb ... Unpacking llvm-11-tools (1:11.0.1-2) ... Selecting previously unselected package llvm-11-dev. Preparing to unpack .../75-llvm-11-dev_1%3a11.0.1-2_i386.deb ... Unpacking llvm-11-dev (1:11.0.1-2) ... Selecting previously unselected package llvm-dev. Preparing to unpack .../76-llvm-dev_1%3a11.0-51+nmu5_i386.deb ... Unpacking llvm-dev (1:11.0-51+nmu5) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../77-zlib1g-dev_1%3a1.2.11.dfsg-2+deb11u2_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.2.11.dfsg-2+deb11u2) ... Setting up media-types (4.0.0) ... Setting up libpipeline1:i386 (1.5.3-1) ... Setting up time (1.9-0.1) ... Setting up bsdextrautils (2.36.1-8+deb11u1) ... update-alternatives: using /usr/bin/write.ul to provide /usr/bin/write (write) in auto mode Setting up libicu67:i386 (67.1-7) ... Setting up libmagic-mgc (1:5.39-3+deb11u1) ... Setting up gawk (1:5.1.0-1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:i386 (0.2.2-1) ... Setting up libisl-dev:i386 (0.23-1) ... Setting up distro-info-data (0.51+deb11u4) ... Setting up libdebhelper-perl (13.3.4) ... Setting up libmagic1:i386 (1:5.39-3+deb11u1) ... Setting up gettext-base (0.21-4) ... Setting up m4 (1.4.18-5) ... Setting up file (1:5.39-3+deb11u1) ... Setting up libffi-dev:i386 (3.3-6) ... Setting up tzdata (2021a-1+deb11u10) ... Current default time zone: 'Etc/UTC' Local time is now: Fri Feb 14 23:05:22 UTC 2025. Universal Time is now: Fri Feb 14 23:05:22 UTC 2025. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up autotools-dev (20180224.1+nmu1) ... Setting up libz3-4:i386 (4.8.10-1) ... Setting up libgmpxx4ldbl:i386 (2:6.2.1+dfsg-1+deb11u1) ... Setting up libpfm4:i386 (4.11.1+git32-gd0b85fb-1) ... Setting up ed (1.17-1) ... Setting up libncurses6:i386 (6.2+20201114-2+deb11u2) ... Setting up diffstat (1.64-1) ... Setting up autopoint (0.21-4) ... Setting up libgc1:i386 (1:8.0.4-3) ... Setting up autoconf (2.69-14) ... Setting up zlib1g-dev:i386 (1:1.2.11.dfsg-2+deb11u2) ... Setting up libmd0:i386 (1.0.3-3) ... Setting up libgnat-10:i386 (10.2.1-6) ... Setting up sensible-utils (0.0.14) ... Setting up libuchardet0:i386 (0.0.7-1) ... Setting up libgnat-util10:i386 (10.2.1-6) ... Setting up libmpdec3:i386 (2.5.1-1) ... Setting up libsub-override-perl (0.09-2) ... Setting up libc6-amd64 (2.31-13+deb11u6) ... Setting up sharutils (1:4.15.2-5) ... Setting up libbsd0:i386 (0.11.3-1+deb11u1) ... Setting up libelf1:i386 (0.183-1) ... Setting up libxml2:i386 (2.9.10+dfsg-6.7+deb11u4) ... Setting up libpython3.9-stdlib:i386 (3.9.2-1) ... Setting up libpython3-stdlib:i386 (3.9.2-3) ... Setting up automake (1:1.16.3-2) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.12.0-1) ... Setting up libz3-dev:i386 (4.8.10-1) ... Setting up libncurses-dev:i386 (6.2+20201114-2+deb11u2) ... Setting up gettext (0.21-4) ... Setting up libgmp-dev:i386 (2:6.2.1+dfsg-1+deb11u1) ... Setting up gnat-10 (10.2.1-6) ... Setting up libtool (2.4.6-15) ... Setting up libedit2:i386 (3.1-20191231-2+b1) ... Setting up lib64atomic1 (10.2.1-6) ... Setting up libobjc4:i386 (10.2.1-6) ... Setting up quilt (0.66-2.1) ... Setting up libmpfr-dev:i386 (4.1.0-3) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up dh-autoreconf (20) ... Setting up patchutils (0.4.2-1) ... Setting up lib64gcc-s1 (10.2.1-6) ... Setting up libllvm11:i386 (1:11.0.1-2) ... Setting up libclang1-11 (1:11.0.1-2) ... Setting up libmpc-dev:i386 (1.2.0-1) ... Setting up dh-strip-nondeterminism (1.12.0-1) ... Setting up libobjc-10-dev:i386 (10.2.1-6) ... Setting up dwz (0.13+20210201-1) ... Setting up groff-base (1.22.4-6) ... Setting up python3.9 (3.9.2-1) ... Setting up libtinfo-dev:i386 (6.2+20201114-2+deb11u2) ... Setting up llvm-11-runtime (1:11.0.1-2) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up llvm-11 (1:11.0.1-2) ... Setting up python3 (3.9.2-3) ... Setting up man-db (2.9.4-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libclang-cpp11 (1:11.0.1-2) ... Setting up lib64stdc++6 (10.2.1-6) ... Setting up llvm-runtime (1:11.0-51+nmu5) ... Setting up llvm (1:11.0-51+nmu5) ... Setting up libclang-common-11-dev (1:11.0.1-2) ... Setting up lsb-release (11.1.0) ... Setting up clang-11 (1:11.0.1-2) ... Setting up python3-pkg-resources (52.0.0-4) ... Setting up python3-yaml (5.3.1-5) ... Setting up debhelper (13.3.4) ... Setting up gcc-10-source (10.2.1-6) ... Setting up python3-pygments (2.7.1+dfsg-2.1) ... Setting up clang (1:11.0-51+nmu5) ... Setting up llvm-11-tools (1:11.0.1-2) ... Setting up llvm-11-dev (1:11.0.1-2) ... Setting up llvm-dev (1:11.0-51+nmu5) ... Processing triggers for libc-bin (2.31-13+deb11u6) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: user script /srv/workspace/pbuilder/51659/tmp/hooks/A99_set_merged_usr starting Not re-configuring usrmerge for bullseye I: user script /srv/workspace/pbuilder/51659/tmp/hooks/A99_set_merged_usr finished hostname: Name or service not known I: Running cd /build/reproducible-path/ghdl-1.0.0+dfsg/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../ghdl_1.0.0+dfsg-3_source.changes dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 1.0.0+dfsg-3 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Andreas Bombe dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg' # dh_auto_clean complains about python-distutils and fails if it # doesn't see a Makefile, so override to only do a distclean when the # Makefile exists and do nothing otherwise. if [ -f Makefile ]; then \ /usr/bin/make distclean; \ fi make[1]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg' debian/rules override_dh_clean make[1]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg' # An .orig file exists in the release, don't delete it to not create # spurious differences to the tarball/git. dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig make[1]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg' debian/rules binary dh binary dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg' mkdir -p /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc ------------------------------------------------------------ Configuring with mcode backend ------------------------------------------------------------ if [ -n "1" ]; then \ cd /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/mcode --incdir=lib/ghdl/include \ --disable-libghdl --enable-gplcompat; \ fi Build machine is: i686-linux-gnu create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/mcode/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' Generate ortho_code-x86-flags.ads Generate elf_arch.ads Generate ghdlsynth_maybe.ads Generate default_paths.ads ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ if [ -n "1" ]; then \ cd /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/llvm --incdir=lib/ghdl/include \ --disable-libghdl --enable-gplcompat \ --with-llvm-config; \ fi Build machine is: i686-linux-gnu Debugging is enabled with llvm 11.0.1 create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/llvm/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' Generate ghdlsynth_maybe.ads Generate default_paths.ads ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules set -e; \ if [ -n "1" ]; then \ cd /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc; \ ln -sf /usr/src/gcc-10/gcc-10.2.0-dfsg.tar.xz gcc-10.2.0-dfsg.tar.xz; \ cp -a /usr/src/gcc-10/debian/ .; \ if [ -n "$(grep -v '^\#' /build/reproducible-path/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series)" ]; then \ cp -n /build/reproducible-path/ghdl-1.0.0+dfsg/debian/patches/gcc-10/*.diff debian/patches/ ; \ cat /build/reproducible-path/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series >> debian/patches/series ; \ sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /build/reproducible-path/ghdl-1.0.0+dfsg/debian/patches/gcc-10/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \ fi; \ debian/rules patch; \ ../../configure --srcdir=../.. --prefix=/usr \ --libdir=lib/ghdl/gcc --incdir=lib/ghdl/include \ --disable-libghdl --enable-gplcompat \ --with-gcc=src; \ make copy-sources; \ mkdir gccbuild; \ cd gccbuild; \ ../src/configure --prefix=/usr/lib/ghdl/gcc --enable-languages=vhdl \ --enable-default-pie \ --disable-bootstrap --disable-lto --disable-multilib \ --disable-libssp --disable-libgomp --disable-libquadmath \ --with-system-zlib --without-isl; \ fi make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' : # unpack gcc tarball mkdir -p stamps if [ -d /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src ]; then \ echo >&2 "Source directory /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-10.2.0 tar -x -f gcc-10.2.0-dfsg.tar.xz mv gcc-10.2.0 /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src ln -sf libsanitizer /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/libasan rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/*.1 rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/fsf-funding.7 rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/*.info rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/fortran/*.info rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/libgomp/*.info for i in gcc/doc/analyzer.texi gcc/doc/avr-mmcu.texi gcc/doc/bugreport.texi gcc/doc/cfg.texi gcc/doc/collect2.texi gcc/doc/compat.texi gcc/doc/configfiles.texi gcc/doc/configterms.texi gcc/doc/contrib.texi gcc/doc/contribute.texi gcc/doc/cpp.texi gcc/doc/cppdiropts.texi gcc/doc/cppenv.texi gcc/doc/cppinternals.texi gcc/doc/cppopts.texi gcc/doc/cppwarnopts.texi gcc/doc/extend.texi gcc/doc/fragments.texi gcc/doc/frontends.texi gcc/doc/gccint.texi gcc/doc/gcov.texi gcc/doc/gcov-dump.texi gcc/doc/gcov-tool.texi gcc/doc/generic.texi gcc/doc/gimple.texi gcc/doc/gnu.texi gcc/doc/gty.texi gcc/doc/headerdirs.texi gcc/doc/hostconfig.texi gcc/doc/implement-c.texi gcc/doc/implement-cxx.texi gcc/doc/install-old.texi gcc/doc/install.texi gcc/doc/interface.texi gcc/doc/invoke.texi gcc/doc/languages.texi gcc/doc/libgcc.texi gcc/doc/loop.texi gcc/doc/lto.texi gcc/doc/makefile.texi gcc/doc/match-and-simplify.texi gcc/doc/md.texi gcc/doc/objc.texi gcc/doc/optinfo.texi gcc/doc/options.texi gcc/doc/passes.texi gcc/doc/plugins.texi gcc/doc/poly-int.texi gcc/doc/portability.texi gcc/doc/rtl.texi gcc/doc/service.texi gcc/doc/sourcebuild.texi gcc/doc/standards.texi gcc/doc/tm.texi.in gcc/doc/tm.texi gcc/doc/tree-ssa.texi gcc/doc/trouble.texi gcc/doc/ux.texi gcc/doc/include/gcc-common.texi gcc/doc/include/funding.texi gcc/fortran/gfc-internals.texi gcc/fortran/invoke.texi gcc/fortran/intrinsic.texi ; do \ if [ -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i ]; then \ cp debian/dummy.texi /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \ else \ cp debian/dummy.texi /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done ( \ echo '@include gcc-vers.texi'; \ echo '@macro versionsubtitle'; \ echo '@subtitle For @sc{gcc} version @value{version-GCC}'; \ echo '@vskip 0pt plus 1filll'; \ echo '@end macro'; \ ) > /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/doc/include/gcc-common.texi for i in gcc/doc/gcc.texi gcc/doc/lto-dump.texi gcc/ada/gnat-style.texi gcc/ada/gnat_rm.texi gcc/ada/gnat_ugn.texi gcc/fortran/gfortran.texi gcc/go/gccgo.texi libgomp/libgomp.texi libquadmath/libquadmath.texi ; do \ n=$(basename $i .texi); \ if [ -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i ]; then \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \ else \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done for i in gcc/doc/cpp.1 gcc/doc/g++.1 gcc/doc/gc-analyze.1 gcc/doc/gcc.1 gcc/doc/gccgo.1 gcc/doc/gcov.1 gcc/doc/gcov-dump.1 gcc/doc/gcov-tool.1 gcc/doc/gfortran.1 gcc/lto/lto-dump.1 gcc/doc/fsf-funding.7 ; do \ touch /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/$i; \ done rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/INSTALL/*.html rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/zlib/contrib/dotzlib/DotZLib.chm echo "gcc-10.2.0-dfsg.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-10.2.0-dfsg.tar.xz : # unpack gm2 tarball mkdir -p stamps : # rm -rf gm2-20200722 tar -x -f /usr/src/gcc-10/gm2-20200722.tar.xz (cd gm2 && tar cf - gcc libgm2) | (cd src && tar xf -) rm -rf gm2 echo "gm2-20200722.tar.xz unpacked." > stamps/01-unpack-stamp-gm2-20200722.tar.xz echo -e "\nBuilt from Debian source package gcc-10-10.2.1-6" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-10.2.0-dfsg.tar.xz gm2-20200722.tar.xz; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo git-updates.diff gcc-gfdl-build.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-pic.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libjit-ldflags.diff libffi-pax.diff libffi-race-condition.diff cuda-float128.diff libffi-mipsen-r6.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff kfreebsd-decimal-float.diff pr87808.diff libgomp-no-werror.diff gdc-cross-build.diff pr94253.diff aarch64-offload.diff pr95842.diff pr97250-1.diff pr97250-2.diff pr97250-3.diff pr97250-4.diff pr97714.diff gm2.diff gm2-texinfo.diff gm2-bootstrap-compare.diff gm2-jit-def.diff ada-gcc-name.diff ada-verbose.diff ada-nobiarch-check.diff ada-link-lib.diff ada-libgnat_util.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-armel-libatomic.diff ada-kfreebsd.diff ada-749574.diff ada-perl-shebang.diff gdc-texinfo.diff sys-auxv-header.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff gcc-foffload-default.diff libstdc++-pythondir.diff arm-multilib-soft.diff arm-multilib-defaults.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff libffi-mips.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff libgomp-kfreebsd-testsuite.diff go-testsuite.diff ada-changes-in-autogen-output.diff fix_ghdl_ppc64.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch git-updates.diff patching file src/.gitignore patching file src/ChangeLog patching file src/configure patching file src/configure.ac patching file src/contrib/ChangeLog patching file src/contrib/gcc-changelog/git_commit.py patching file src/contrib/gcc-changelog/git_email.py patching file src/contrib/gcc-changelog/git_repository.py patching file src/contrib/gcc-changelog/git_update_version.py patching file src/contrib/gcc-changelog/setup.cfg patching file src/contrib/gcc-changelog/test_email.py patching file src/contrib/gcc-changelog/test_patches.txt patching file src/contrib/git-backport.py patching file src/gcc/BASE-VER patching file src/gcc/ChangeLog patching file src/gcc/DATESTAMP patching file src/gcc/ada/ChangeLog patching file src/gcc/ada/exp_attr.adb patching file src/gcc/ada/gcc-interface/decl.c patching file src/gcc/ada/gcc-interface/trans.c patching file src/gcc/ada/gcc-interface/utils.c patching file src/gcc/asan.c patching file src/gcc/asan.h patching file src/gcc/builtins.c patching file src/gcc/c-family/ChangeLog patching file src/gcc/c-family/c-common.c patching file src/gcc/c-family/c-common.h patching file src/gcc/c-family/c-pragma.c patching file src/gcc/c-family/c-pretty-print.c patching file src/gcc/c-family/c-warn.c patching file src/gcc/c/ChangeLog patching file src/gcc/c/c-parser.c patching file src/gcc/c/c-typeck.c patching file src/gcc/calls.c patching file src/gcc/cfgexpand.c patching file src/gcc/cgraph.h patching file src/gcc/cgraphunit.c patching file src/gcc/combine.c patching file src/gcc/common.opt patching file src/gcc/common/config/i386/i386-common.c patching file src/gcc/config.gcc patching file src/gcc/config/aarch64/aarch64-bti-insert.c patching file src/gcc/config/aarch64/aarch64-builtins.c patching file src/gcc/config/aarch64/aarch64-c.c patching file src/gcc/config/aarch64/aarch64-cores.def patching file src/gcc/config/aarch64/aarch64-option-extensions.def patching file src/gcc/config/aarch64/aarch64-protos.h patching file src/gcc/config/aarch64/aarch64-simd-builtins.def patching file src/gcc/config/aarch64/aarch64-simd.md patching file src/gcc/config/aarch64/aarch64-sve-builtins.cc patching file src/gcc/config/aarch64/aarch64-sve.md patching file src/gcc/config/aarch64/aarch64-sve2.md patching file src/gcc/config/aarch64/aarch64-tune.md patching file src/gcc/config/aarch64/aarch64.c patching file src/gcc/config/aarch64/aarch64.h patching file src/gcc/config/aarch64/aarch64.md patching file src/gcc/config/aarch64/aarch64.opt patching file src/gcc/config/aarch64/arm_bf16.h patching file src/gcc/config/aarch64/arm_neon.h patching file src/gcc/config/aarch64/constraints.md patching file src/gcc/config/aarch64/driver-aarch64.c patching file src/gcc/config/aarch64/predicates.md patching file src/gcc/config/arc/arc-protos.h patching file src/gcc/config/arc/arc.c patching file src/gcc/config/arc/arc.md patching file src/gcc/config/arm/arm-builtins.c patching file src/gcc/config/arm/arm-cpus.in patching file src/gcc/config/arm/arm-protos.h patching file src/gcc/config/arm/arm-tables.opt patching file src/gcc/config/arm/arm-tune.md patching file src/gcc/config/arm/arm.c patching file src/gcc/config/arm/arm.md patching file src/gcc/config/arm/arm_mve.h patching file src/gcc/config/arm/arm_mve_builtins.def patching file src/gcc/config/arm/arm_neon.h patching file src/gcc/config/arm/arm_neon_builtins.def patching file src/gcc/config/arm/constraints.md patching file src/gcc/config/arm/driver-arm.c patching file src/gcc/config/arm/iterators.md patching file src/gcc/config/arm/mve.md patching file src/gcc/config/arm/parsecpu.awk patching file src/gcc/config/arm/t-rtems patching file src/gcc/config/arm/thumb1.md patching file src/gcc/config/arm/unspecs.md patching file src/gcc/config/arm/vfp.md patching file src/gcc/config/bpf/bpf-helpers.def patching file src/gcc/config/bpf/bpf-helpers.h patching file src/gcc/config/bpf/bpf.c patching file src/gcc/config/bpf/bpf.h patching file src/gcc/config/bpf/bpf.md patching file src/gcc/config/bpf/bpf.opt patching file src/gcc/config/bpf/constraints.md patching file src/gcc/config/bpf/predicates.md patching file src/gcc/config/darwin-c.c patching file src/gcc/config/darwin-driver.c patching file src/gcc/config/gcn/gcn.c patching file src/gcc/config/gcn/gcn.md patching file src/gcc/config/host-darwin.c patching file src/gcc/config/i386/avx512vp2intersectintrin.h patching file src/gcc/config/i386/avx512vp2intersectvlintrin.h patching file src/gcc/config/i386/enqcmdintrin.h patching file src/gcc/config/i386/i386-expand.c patching file src/gcc/config/i386/i386-options.c patching file src/gcc/config/i386/i386-protos.h patching file src/gcc/config/i386/i386.c patching file src/gcc/config/i386/i386.h patching file src/gcc/config/i386/i386.md patching file src/gcc/config/i386/i386.opt patching file src/gcc/config/i386/pconfigintrin.h patching file src/gcc/config/i386/sse.md patching file src/gcc/config/i386/t-rtems patching file src/gcc/config/i386/wbnoinvdintrin.h patching file src/gcc/config/i386/x86-tune-costs.h patching file src/gcc/config/i386/xopintrin.h patching file src/gcc/config/pa/pa-hpux11.h patching file src/gcc/config/pa/pa.c patching file src/gcc/config/pa/pa.md patching file src/gcc/config/pa/pa32-linux.h patching file src/gcc/config/pa/pa64-hpux.h patching file src/gcc/config/riscv/riscv.c patching file src/gcc/config/riscv/riscv.h patching file src/gcc/config/rs6000/altivec.h patching file src/gcc/config/rs6000/freebsd64.h patching file src/gcc/config/rs6000/mma.md patching file src/gcc/config/rs6000/predicates.md patching file src/gcc/config/rs6000/rs6000-builtin.def patching file src/gcc/config/rs6000/rs6000-c.c patching file src/gcc/config/rs6000/rs6000-call.c patching file src/gcc/config/rs6000/rs6000.c patching file src/gcc/config/rs6000/rs6000.h patching file src/gcc/config/rs6000/vsx.md patching file src/gcc/config/s390/s390.c patching file src/gcc/config/s390/s390.h patching file src/gcc/config/s390/vector.md patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/sparc/linux64.h patching file src/gcc/config/sparc/predicates.md patching file src/gcc/config/sparc/sparc-protos.h patching file src/gcc/config/sparc/sparc.c patching file src/gcc/config/sparc/sparc.md patching file src/gcc/configure patching file src/gcc/configure.ac patching file src/gcc/coverage.c patching file src/gcc/cp/ChangeLog patching file src/gcc/cp/call.c patching file src/gcc/cp/constexpr.c patching file src/gcc/cp/constraint.cc patching file src/gcc/cp/coroutines.cc patching file src/gcc/cp/cp-tree.def patching file src/gcc/cp/cp-tree.h patching file src/gcc/cp/cvt.c patching file src/gcc/cp/decl.c patching file src/gcc/cp/decl2.c patching file src/gcc/cp/error.c patching file src/gcc/cp/init.c patching file src/gcc/cp/optimize.c patching file src/gcc/cp/parser.c patching file src/gcc/cp/pt.c patching file src/gcc/cp/tree.c patching file src/gcc/cp/typeck2.c patching file src/gcc/d/ChangeLog patching file src/gcc/d/d-codegen.cc patching file src/gcc/d/d-lang.cc patching file src/gcc/d/d-tree.h patching file src/gcc/d/decl.cc patching file src/gcc/d/dmd/cond.c patching file src/gcc/d/dmd/ctfeexpr.c patching file src/gcc/d/dmd/declaration.c patching file src/gcc/d/dmd/dinterpret.c patching file src/gcc/d/dmd/dmacro.c patching file src/gcc/d/dmd/dstruct.c patching file src/gcc/d/dmd/dtemplate.c patching file src/gcc/d/dmd/expressionsem.c patching file src/gcc/d/dmd/func.c patching file src/gcc/d/dmd/globals.h patching file src/gcc/d/dmd/mtype.c patching file src/gcc/d/dmd/optimize.c patching file src/gcc/d/dmd/parse.c patching file src/gcc/d/expr.cc patching file src/gcc/d/intrinsics.cc patching file src/gcc/d/types.cc patching file src/gcc/dfp.c patching file src/gcc/dse.c patching file src/gcc/dwarf2out.c patching file src/gcc/emit-rtl.h patching file src/gcc/expr.c patching file src/gcc/flag-types.h patching file src/gcc/fold-const.c patching file src/gcc/fortran/ChangeLog patching file src/gcc/fortran/check.c patching file src/gcc/fortran/class.c patching file src/gcc/fortran/data.c patching file src/gcc/fortran/decl.c patching file src/gcc/fortran/dump-parse-tree.c patching file src/gcc/fortran/expr.c patching file src/gcc/fortran/frontend-passes.c patching file src/gcc/fortran/gfortran.h patching file src/gcc/fortran/interface.c patching file src/gcc/fortran/intrinsic.c patching file src/gcc/fortran/iresolve.c patching file src/gcc/fortran/match.c patching file src/gcc/fortran/misc.c patching file src/gcc/fortran/module.c patching file src/gcc/fortran/openmp.c patching file src/gcc/fortran/parse.c patching file src/gcc/fortran/primary.c patching file src/gcc/fortran/resolve.c patching file src/gcc/fortran/trans-array.c patching file src/gcc/fortran/trans-decl.c patching file src/gcc/fortran/trans-expr.c patching file src/gcc/fortran/trans-intrinsic.c patching file src/gcc/fortran/trans-openmp.c patching file src/gcc/fortran/trans-stmt.c patching file src/gcc/fortran/trans.c patching file src/gcc/fortran/trans.h patching file src/gcc/gimple-fold.c patching file src/gcc/gimple-ssa-store-merging.c patching file src/gcc/gimple-streamer-in.c patching file src/gcc/gimple-streamer-out.c patching file src/gcc/gimple.c patching file src/gcc/gimple.h patching file src/gcc/gimplify.c patching file src/gcc/go/gofrontend/MERGE patching file src/gcc/go/gofrontend/expressions.cc patching file src/gcc/go/gofrontend/gogo.cc patching file src/gcc/go/gofrontend/types.cc patching file src/gcc/input.c patching file src/gcc/ipa-cp.c patching file src/gcc/ipa-devirt.c patching file src/gcc/ipa-fnsummary.c patching file src/gcc/ipa-prop.c patching file src/gcc/ipa-sra.c patching file src/gcc/ipa-utils.h patching file src/gcc/ira-color.c patching file src/gcc/ira.c patching file src/gcc/langhooks.c patching file src/gcc/lra-constraints.c patching file src/gcc/lra.c patching file src/gcc/lto-compress.c patching file src/gcc/lto-opts.c patching file src/gcc/lto-section-in.c patching file src/gcc/lto-streamer-in.c patching file src/gcc/lto-streamer-out.c patching file src/gcc/lto-streamer.c patching file src/gcc/lto-streamer.h patching file src/gcc/lto-wrapper.c patching file src/gcc/lto/ChangeLog patching file src/gcc/lto/lto-common.c patching file src/gcc/modulo-sched.c patching file src/gcc/omp-expand.c patching file src/gcc/omp-low.c patching file src/gcc/omp-offload.c patching file src/gcc/optabs.c patching file src/gcc/opts-global.c patching file src/gcc/opts.c patching file src/gcc/output.h patching file src/gcc/passes.def patching file src/gcc/po/ChangeLog patching file src/gcc/po/be.po patching file src/gcc/po/da.po patching file src/gcc/po/de.po patching file src/gcc/po/el.po patching file src/gcc/po/es.po patching file src/gcc/po/fi.po patching file src/gcc/po/fr.po patching file src/gcc/po/hr.po patching file src/gcc/po/id.po patching file src/gcc/po/ja.po patching file src/gcc/po/nl.po patching file src/gcc/po/ru.po patching file src/gcc/po/sr.po patching file src/gcc/po/sv.po patching file src/gcc/po/tr.po patching file src/gcc/po/uk.po patching file src/gcc/po/vi.po patching file src/gcc/po/zh_CN.po patching file src/gcc/po/zh_TW.po patching file src/gcc/profile-count.c patching file src/gcc/profile.c patching file src/gcc/regs.h patching file src/gcc/sbitmap.c patching file src/gcc/streamer-hooks.h patching file src/gcc/symbol-summary.h patching file src/gcc/testsuite/ChangeLog patching file src/gcc/testsuite/c-c++-common/Wunused-value-1.c patching file src/gcc/testsuite/c-c++-common/cpp/has-include-1-traditional.c patching file src/gcc/testsuite/c-c++-common/goacc/cache-1.c patching file src/gcc/testsuite/c-c++-common/goacc/cache-2.c patching file src/gcc/testsuite/c-c++-common/goacc/cache-3-1.c patching file src/gcc/testsuite/c-c++-common/goacc/cache-3-2.c patching file src/gcc/testsuite/c-c++-common/goacc/classify-parallel.c patching file src/gcc/testsuite/c-c++-common/goacc/classify-serial.c patching file src/gcc/testsuite/c-c++-common/goacc/clause-locations.c patching file src/gcc/testsuite/c-c++-common/goacc/data-clause-1.c patching file src/gcc/testsuite/c-c++-common/goacc/data-clause-2.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-1-kernels.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-1-parallel.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-1-routine.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-2-kernels.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-2-parallel.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-2-routine.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions-warn.c patching file src/gcc/testsuite/c-c++-common/goacc/nested-reductions.c patching file src/gcc/testsuite/c-c++-common/goacc/pr92793-1.c patching file src/gcc/testsuite/c-c++-common/gomp/depobj-2.c patching file src/gcc/testsuite/c-c++-common/gomp/doacross-4.c patching file src/gcc/testsuite/c-c++-common/gomp/map-1.c patching file src/gcc/testsuite/c-c++-common/gomp/map-2.c patching file src/gcc/testsuite/c-c++-common/gomp/pr97958.c patching file src/gcc/testsuite/c-c++-common/gomp/pr98187.c patching file src/gcc/testsuite/c-c++-common/pr96369.c patching file src/gcc/testsuite/c-c++-common/pr96545.c patching file src/gcc/testsuite/c-c++-common/pr98556.c patching file src/gcc/testsuite/g++.dg/asan/asan_test.C patching file src/gcc/testsuite/g++.dg/asan/pr97145.C patching file src/gcc/testsuite/g++.dg/asan/pr97414.C patching file src/gcc/testsuite/g++.dg/concepts/abbrev7.C patching file src/gcc/testsuite/g++.dg/concepts/fn8.C patching file src/gcc/testsuite/g++.dg/coroutines/pr95591.C patching file src/gcc/testsuite/g++.dg/coroutines/pr95599.C patching file src/gcc/testsuite/g++.dg/coroutines/pr95823.C patching file src/gcc/testsuite/g++.dg/coroutines/pr95824.C patching file src/gcc/testsuite/g++.dg/coroutines/pr97438.C patching file src/gcc/testsuite/g++.dg/coroutines/torture/co-ret-17-void-ret-coro.C patching file src/gcc/testsuite/g++.dg/coroutines/torture/pr95519-05-gro.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-pr96805.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-96241.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-overflow3.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-pmf2.C patching file src/gcc/testsuite/g++.dg/cpp0x/enum41.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist123.C patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept61.C patching file src/gcc/testsuite/g++.dg/cpp1y/auto-fn60.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-96241.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-98122.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-array8.C patching file src/gcc/testsuite/g++.dg/cpp1z/aggr-base10.C patching file src/gcc/testsuite/g++.dg/cpp1z/class-deduction75.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-96862.C patching file src/gcc/testsuite/g++.dg/cpp1z/inline-var8.C patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias4.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-class2.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-explicit-inst5.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-fn1.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-fn5.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-fn7.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ts2.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ts3.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-variadic2.C patching file src/gcc/testsuite/g++.dg/cpp2a/consteval18.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-98122.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-dtor10.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-dtor9.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-init19.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-init20.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-new14.C patching file src/gcc/testsuite/g++.dg/cpp2a/fn-template21.C patching file src/gcc/testsuite/g++.dg/cpp2a/fn-template22.C patching file src/gcc/testsuite/g++.dg/cpp2a/no_unique_address7.C patching file src/gcc/testsuite/g++.dg/cpp2a/no_unique_address7a.C patching file src/gcc/testsuite/g++.dg/cpp2a/nontype-class40.C patching file src/gcc/testsuite/g++.dg/cpp2a/spaceship-constexpr3.C patching file src/gcc/testsuite/g++.dg/debug/localclass2.C patching file src/gcc/testsuite/g++.dg/ext/attr-used-2.C patching file src/gcc/testsuite/g++.dg/ext/sve-sizeless-1.C patching file src/gcc/testsuite/g++.dg/ext/sve-sizeless-2.C patching file src/gcc/testsuite/g++.dg/gcov/pr97069.C patching file src/gcc/testsuite/g++.dg/goacc/cache-1.C patching file src/gcc/testsuite/g++.dg/goacc/cache-2.C patching file src/gcc/testsuite/g++.dg/goacc/cache-3-1.C patching file src/gcc/testsuite/g++.dg/goacc/cache-3-2.C patching file src/gcc/testsuite/g++.dg/goacc/data-clause-1.C patching file src/gcc/testsuite/g++.dg/goacc/data-clause-2.C patching file src/gcc/testsuite/g++.dg/gomp/map-1.C patching file src/gcc/testsuite/g++.dg/gomp/map-2.C patching file src/gcc/testsuite/g++.dg/gomp/pr98383.C patching file src/gcc/testsuite/g++.dg/lto/pr84805_0.C patching file src/gcc/testsuite/g++.dg/lto/pr96690_0.C patching file src/gcc/testsuite/g++.dg/opt/pr96354.C patching file src/gcc/testsuite/g++.dg/opt/pr96722.C patching file src/gcc/testsuite/g++.dg/opt/pr98353.C patching file src/gcc/testsuite/g++.dg/other/final8.C patching file src/gcc/testsuite/g++.dg/template/alignof3.C patching file src/gcc/testsuite/g++.dg/template/pr98297.C patching file src/gcc/testsuite/g++.dg/template/template-keyword3.C patching file src/gcc/testsuite/g++.dg/torture/pr95548.C patching file src/gcc/testsuite/g++.dg/tree-ssa/pr96979.C patching file src/gcc/testsuite/g++.dg/ubsan/vptr-18.C patching file src/gcc/testsuite/g++.dg/vect/pr97255.cc patching file src/gcc/testsuite/g++.dg/warn/Wdiv-by-zero-3.C patching file src/gcc/testsuite/g++.dg/warn/Wtautological-compare3.C patching file src/gcc/testsuite/g++.dg/warn/Wtype-limits5.C patching file src/gcc/testsuite/g++.old-deja/g++.pt/crash10.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/attributes_2.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/gnu_vectors_3.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/gnu_vectors_4.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_1.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_10.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_2.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_3.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_5.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_6.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_7.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_8.C patching file src/gcc/testsuite/g++.target/aarch64/sve/acle/general-c++/mangle_9.C patching file src/gcc/testsuite/g++.target/i386/pr97054.C patching file src/gcc/testsuite/g++.target/riscv/pr96759.C patching file src/gcc/testsuite/g++.target/riscv/pr97682.C patching file src/gcc/testsuite/gcc.c-torture/compile/pr96426.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr96549.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97073.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97386-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97386-2.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97404.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97421-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97421-2.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97421-3.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr97764.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr98474.c patching file src/gcc/testsuite/gcc.dg/Wunused-var-4.c patching file src/gcc/testsuite/gcc.dg/asan/pr80166.c patching file src/gcc/testsuite/gcc.dg/asan/pr97294.c patching file src/gcc/testsuite/gcc.dg/darwin-minversion-link.c patching file src/gcc/testsuite/gcc.dg/debug/dwarf2/pr97060.c patching file src/gcc/testsuite/gcc.dg/dfp/pr97439.c patching file src/gcc/testsuite/gcc.dg/format/pr96935.c patching file src/gcc/testsuite/gcc.dg/gimplefe-44.c patching file src/gcc/testsuite/gcc.dg/goacc/pr98183.c patching file src/gcc/testsuite/gcc.dg/gomp/pr98183.c patching file src/gcc/testsuite/gcc.dg/ipa/pr96482-2.c patching file src/gcc/testsuite/gcc.dg/ipa/pr96482.c patching file src/gcc/testsuite/gcc.dg/lto/pr96291.h patching file src/gcc/testsuite/gcc.dg/lto/pr96291_0.c patching file src/gcc/testsuite/gcc.dg/lto/pr96291_1.c patching file src/gcc/testsuite/gcc.dg/lto/pr96291_2.c patching file src/gcc/testsuite/gcc.dg/memcmp-pr95189.c patching file src/gcc/testsuite/gcc.dg/pr95171.c patching file src/gcc/testsuite/gcc.dg/pr95694.c patching file src/gcc/testsuite/gcc.dg/pr96335.c patching file src/gcc/testsuite/gcc.dg/pr96370.c patching file src/gcc/testsuite/gcc.dg/pr96377-1.c patching file src/gcc/testsuite/gcc.dg/pr96377-2.c patching file src/gcc/testsuite/gcc.dg/pr96377-3.c patching file src/gcc/testsuite/gcc.dg/pr96377-4.c patching file src/gcc/testsuite/gcc.dg/pr96377-5.c patching file src/gcc/testsuite/gcc.dg/pr96377-6.c patching file src/gcc/testsuite/gcc.dg/pr96514.c patching file src/gcc/testsuite/gcc.dg/pr96579.c patching file src/gcc/testsuite/gcc.dg/pr97357.c patching file src/gcc/testsuite/gcc.dg/pr97534.c patching file src/gcc/testsuite/gcc.dg/pr97539.c patching file src/gcc/testsuite/gcc.dg/store_merging_31.c patching file src/gcc/testsuite/gcc.dg/store_merging_32.c patching file src/gcc/testsuite/gcc.dg/strcmpopt_12.c patching file src/gcc/testsuite/gcc.dg/strncmp-2.c patching file src/gcc/testsuite/gcc.dg/strncmp-3.c patching file src/gcc/testsuite/gcc.dg/torture/pr96349.c patching file src/gcc/testsuite/gcc.dg/torture/pr96522.c patching file src/gcc/testsuite/gcc.dg/torture/pr97812.c patching file src/gcc/testsuite/gcc.dg/torture/ssa-fre-5.c patching file src/gcc/testsuite/gcc.dg/torture/ssa-fre-6.c patching file src/gcc/testsuite/gcc.dg/tree-prof/pr96394.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr96730.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr96820.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr97456.c patching file src/gcc/testsuite/gcc.dg/vect/bb-slp-49.c patching file src/gcc/testsuite/gcc.dg/vect/nodump-vect-opt-info-1.c patching file src/gcc/testsuite/gcc.dg/vect/nodump-vect-opt-info-2.c patching file src/gcc/testsuite/gcc.dg/vect/pr96698.c patching file src/gcc/testsuite/gcc.dg/vect/pr96854.c patching file src/gcc/testsuite/gcc.dg/vect/pr96920.c patching file src/gcc/testsuite/gcc.dg/vect/pr97081-2.c patching file src/gcc/testsuite/gcc.dg/vect/pr97081.c patching file src/gcc/testsuite/gcc.dg/vect/pr97236.c patching file src/gcc/testsuite/gcc.dg/vect/pr97457.c patching file src/gcc/testsuite/gcc.dg/vect/pr97730.c patching file src/gcc/testsuite/gcc.dg/vect/pr97760.c patching file src/gcc/testsuite/gcc.dg/vect/slp-46.c patching file src/gcc/testsuite/gcc.dg/vect/tree-vect.h patching file src/gcc/testsuite/gcc.target/aarch64/acle/jcvt_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/arm-neon-ref.h patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_get.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_vect_copy_lane_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_vldN_lane_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_vldN_lane_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_vstN_lane_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bf16_vstN_lane_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/bfcvt-compile.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopy_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopy_lane_bf16_indices_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopy_laneq_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopy_laneq_bf16_indices_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopyq_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopyq_lane_bf16_indices_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopyq_laneq_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vcopyq_laneq_bf16_indices_2.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld2_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld2q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld3_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld3q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld4_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vld4q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vreinterpret_p128.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst2_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst2q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst3_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst3q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst4_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vst4q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vtrn_half.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vuzp_half.c patching file src/gcc/testsuite/gcc.target/aarch64/advsimd-intrinsics/vzip_half.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/aarch64-cpunative.exp patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_0 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_1 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_10 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_11 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_12 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_13 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_14 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_15 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_2 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_3 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_4 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_5 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_6 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_7 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_8 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_9 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_0.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_1.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_10.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_11.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_12.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_13.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_14.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_15.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_2.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_3.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_4.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_5.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_6.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_7.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_8.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_9.c patching file src/gcc/testsuite/gcc.target/aarch64/pr96313.c patching file src/gcc/testsuite/gcc.target/aarch64/pr96377-1.c patching file src/gcc/testsuite/gcc.target/aarch64/pr96402.c patching file src/gcc/testsuite/gcc.target/aarch64/pr97150.c patching file src/gcc/testsuite/gcc.target/aarch64/pr97535.c patching file src/gcc/testsuite/gcc.target/aarch64/pr97638.c patching file src/gcc/testsuite/gcc.target/aarch64/scalar_intrinsics.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/pr97349.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/trn_zip_p64_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vadd_poly_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vceq_poly_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vcls_unsigned_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vldrq_p128_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vrndns_f32_1.c patching file src/gcc/testsuite/gcc.target/aarch64/simd/vstrq_p128_1.c patching file src/gcc/testsuite/gcc.target/aarch64/sls-mitigation/sls-miti-blr-bti.c patching file src/gcc/testsuite/gcc.target/aarch64/sls-mitigation/sls-miti-blr.c patching file src/gcc/testsuite/gcc.target/aarch64/sls-mitigation/sls-miti-retbr-pacret.c patching file src/gcc/testsuite/gcc.target/aarch64/sls-mitigation/sls-miti-retbr.c patching file src/gcc/testsuite/gcc.target/aarch64/sls-mitigation/sls-mitigation.exp patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-1.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-2.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-5.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-6.c patching file src/gcc/testsuite/gcc.target/aarch64/stack-protector-7.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/abs_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cls_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cls_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cls_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cls_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/clz_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnot_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cnt_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/cvt_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/extb_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/extb_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/extb_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/exth_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/exth_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/extw_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/neg_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/not_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rbit_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/recpx_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/recpx_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/recpx_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revb_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revh_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revh_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revh_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revh_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revw_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/revw_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinta_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinta_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinta_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinti_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinti_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rinti_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintm_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintm_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintm_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintn_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintn_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintn_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintp_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintp_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintp_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintx_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintx_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintx_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintz_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintz_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/rintz_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/sqrt_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/sqrt_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/sqrt_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general-c/gnu_vectors_3.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general-c/gnu_vectors_4.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/attributes_1.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/attributes_7.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/pr98037.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/cond_cnot_1.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/cond_unary_1.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr96357.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr97092.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/cvtlt_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/cvtlt_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/cvtx_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/logb_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/logb_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/logb_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qabs_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qabs_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qabs_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qabs_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qneg_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qneg_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qneg_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/qneg_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/recpe_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/acle/asm/rsqrte_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve2/bcax_1.c patching file src/gcc/testsuite/gcc.target/aarch64/with-tune-config.c patching file src/gcc/testsuite/gcc.target/aarch64/with-tune-march.c patching file src/gcc/testsuite/gcc.target/aarch64/with-tune-mcpu.c patching file src/gcc/testsuite/gcc.target/aarch64/with-tune-mtune.c patching file src/gcc/testsuite/gcc.target/arm/armv8_1m-fp16-move-1.c patching file src/gcc/testsuite/gcc.target/arm/armv8_1m-fp32-move-1.c patching file src/gcc/testsuite/gcc.target/arm/armv8_1m-fp64-move-1.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nodsp-flag-hard.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nodsp-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nodsp-nofp-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-flag-hard.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nofp-nomve-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nomve-flag-hard.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nomve-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nomve.fp-flag-hard.c patching file src/gcc/testsuite/gcc.target/arm/cortex-m55-nomve.fp-flag-softfp.c patching file src/gcc/testsuite/gcc.target/arm/multilib.exp patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/mve-vldstr16-no-writeback.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/mve_fp_vaddq_n.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/mve_vaddq_n.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/pr97327.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vaddq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vaddq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vaddq_x_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vaddq_x_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpeqq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpeqq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpeqq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpeqq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgeq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgeq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgeq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgeq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgtq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgtq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgtq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpgtq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpleq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpleq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpleq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpleq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpltq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpltq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpltq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpltq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpneq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpneq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpneq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcmpneq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vcvtnq_u32_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmaq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmaq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmaq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmaq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmasq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmasq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmasq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vfmasq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_p_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_p_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_p_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxavq_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_p_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_p_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_p_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmavq_p_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_p_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_p_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_p_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxnmvq_p_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_p_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmaxvq_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_p_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_p_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_p_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminavq_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_p_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_p_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_p_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmavq_p_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_p_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_p_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_p_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminnmvq_p_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_p_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vminvq_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmlaldavaxq_p_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmlaldavaxq_p_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_x_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vmulq_x_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlahq_n_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlahq_n_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlahq_n_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_m_n_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_m_n_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_m_n_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_n_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_n_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqdmlashq_n_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlahq_n_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlahq_n_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlahq_n_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlashq_n_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlashq_n_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vqrdmlashq_n_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsetq_lane_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsetq_lane_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vst1q_f16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vst1q_s16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vst1q_s8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vst1q_u16.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vst1q_u8.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrdq_scatter_base_wb_p_s64.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrdq_scatter_base_wb_p_u64.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrdq_scatter_base_wb_s64.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrdq_scatter_base_wb_u64.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_p_f32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_p_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_p_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_s32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vstrwq_scatter_base_wb_u32.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_m_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_m_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_x_n_f16-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/intrinsics/vsubq_x_n_f32-1.c patching file src/gcc/testsuite/gcc.target/arm/pr91816.c patching file src/gcc/testsuite/gcc.target/arm/pr97528.c patching file src/gcc/testsuite/gcc.target/arm/pure-code/pr94538-1.c patching file src/gcc/testsuite/gcc.target/arm/pure-code/pr94538-2.c patching file src/gcc/testsuite/gcc.target/arm/simd/vld1_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vld1_lane_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vld1_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vld1q_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vldn_lane_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vst1_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vst1_lane_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vst1_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vstn_lane_bf16_1.c patching file src/gcc/testsuite/gcc.target/arm/simd/vstq1_lane_bf16_indices_1.c patching file src/gcc/testsuite/gcc.target/arm/stack-protector-1.c patching file src/gcc/testsuite/gcc.target/arm/stack-protector-2.c patching file src/gcc/testsuite/gcc.target/arm/stack-protector-3.c patching file src/gcc/testsuite/gcc.target/arm/stack-protector-4.c patching file src/gcc/testsuite/gcc.target/bpf/diag-funargs-2.c patching file src/gcc/testsuite/gcc.target/bpf/diag-funargs-3.c patching file src/gcc/testsuite/gcc.target/bpf/diag-sdiv.c patching file src/gcc/testsuite/gcc.target/bpf/diag-smod.c patching file src/gcc/testsuite/gcc.target/bpf/helper-bind.c patching file src/gcc/testsuite/gcc.target/bpf/helper-bpf-redirect.c patching file src/gcc/testsuite/gcc.target/bpf/helper-clone-redirect.c patching file src/gcc/testsuite/gcc.target/bpf/helper-csum-diff.c patching file src/gcc/testsuite/gcc.target/bpf/helper-csum-update.c patching file src/gcc/testsuite/gcc.target/bpf/helper-current-task-under-cgroup.c patching file src/gcc/testsuite/gcc.target/bpf/helper-fib-lookup.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-cgroup-classid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-current-cgroup-id.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-current-comm.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-current-pid-tgid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-current-task.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-current-uid-gid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-hash-recalc.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-listener-sock.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-local-storage.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-numa-node-id.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-prandom-u32.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-route-realm.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-smp-processor-id.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-socket-cookie.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-socket-uid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-stack.c patching file src/gcc/testsuite/gcc.target/bpf/helper-get-stackid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-getsockopt.c patching file src/gcc/testsuite/gcc.target/bpf/helper-ktime-get-ns.c patching file src/gcc/testsuite/gcc.target/bpf/helper-l3-csum-replace.c patching file src/gcc/testsuite/gcc.target/bpf/helper-l4-csum-replace.c patching file src/gcc/testsuite/gcc.target/bpf/helper-lwt-push-encap.c patching file src/gcc/testsuite/gcc.target/bpf/helper-lwt-seg6-action.c patching file src/gcc/testsuite/gcc.target/bpf/helper-lwt-seg6-adjust-srh.c patching file src/gcc/testsuite/gcc.target/bpf/helper-lwt-seg6-store-bytes.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-delete-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-lookup-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-peek-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-pop-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-push-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-map-update-elem.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-apply-bytes.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-cork-bytes.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-pop-data.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-pull-data.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-push-data.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-redirect-hash.c patching file src/gcc/testsuite/gcc.target/bpf/helper-msg-redirect-map.c patching file src/gcc/testsuite/gcc.target/bpf/helper-override-return.c patching file src/gcc/testsuite/gcc.target/bpf/helper-perf-event-output.c patching file src/gcc/testsuite/gcc.target/bpf/helper-perf-event-read-value.c patching file src/gcc/testsuite/gcc.target/bpf/helper-perf-event-read.c patching file src/gcc/testsuite/gcc.target/bpf/helper-perf-prog-read-value.c patching file src/gcc/testsuite/gcc.target/bpf/helper-probe-read-str.c patching file src/gcc/testsuite/gcc.target/bpf/helper-probe-read.c patching file src/gcc/testsuite/gcc.target/bpf/helper-probe-write-user.c patching file src/gcc/testsuite/gcc.target/bpf/helper-rc-keydown.c patching file src/gcc/testsuite/gcc.target/bpf/helper-rc-pointer-rel.c patching file src/gcc/testsuite/gcc.target/bpf/helper-rc-repeat.c patching file src/gcc/testsuite/gcc.target/bpf/helper-redirect-map.c patching file src/gcc/testsuite/gcc.target/bpf/helper-set-hash-invalid.c patching file src/gcc/testsuite/gcc.target/bpf/helper-set-hash.c patching file src/gcc/testsuite/gcc.target/bpf/helper-setsockopt.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-fullsock.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-lookup-tcp.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-lookup-upd.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-redirect-hash.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-redirect-map.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-release.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-select-reuseport.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-storage-delete.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sk-storage-get.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-adjust-room.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-cgroup-id.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-change-head.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-change-proto.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-change-tail.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-change-type.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-ecn-set-ce.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-get-tunnel-key.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-get-tunnel-opt.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-get-xfrm-state.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-load-bytes-relative.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-load-bytes.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-pull-data.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-set-tunnel-key.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-set-tunnel-opt.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-store-bytes.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-under-cgroup.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-vlan-pop.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skb-vlan-push.c patching file src/gcc/testsuite/gcc.target/bpf/helper-skc-lookup-tcp.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sock-hash-update.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sock-map-update.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sock-ops-cb-flags-set.c patching file src/gcc/testsuite/gcc.target/bpf/helper-spin-lock.c patching file src/gcc/testsuite/gcc.target/bpf/helper-spin-unlock.c patching file src/gcc/testsuite/gcc.target/bpf/helper-strtol.c patching file src/gcc/testsuite/gcc.target/bpf/helper-strtoul.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sysctl-get-current-value.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sysctl-get-name.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sysctl-get-new-value.c patching file src/gcc/testsuite/gcc.target/bpf/helper-sysctl-set-new-value.c patching file src/gcc/testsuite/gcc.target/bpf/helper-tail-call.c patching file src/gcc/testsuite/gcc.target/bpf/helper-tcp-check-syncookie.c patching file src/gcc/testsuite/gcc.target/bpf/helper-tcp-sock.c patching file src/gcc/testsuite/gcc.target/bpf/helper-trace-printk.c patching file src/gcc/testsuite/gcc.target/bpf/helper-xdp-adjust-head.c patching file src/gcc/testsuite/gcc.target/bpf/helper-xdp-adjust-meta.c patching file src/gcc/testsuite/gcc.target/bpf/helper-xdp-adjust-tail.c patching file src/gcc/testsuite/gcc.target/bpf/nop-1.c patching file src/gcc/testsuite/gcc.target/bpf/skb-ancestor-cgroup-id.c patching file src/gcc/testsuite/gcc.target/bpf/xbpf-callee-saved-regs-1.c patching file src/gcc/testsuite/gcc.target/bpf/xbpf-callee-saved-regs-2.c patching file src/gcc/testsuite/gcc.target/bpf/xbpf-indirect-call-1.c patching file src/gcc/testsuite/gcc.target/bpf/xbpf-sdiv-1.c patching file src/gcc/testsuite/gcc.target/bpf/xbpf-smod-1.c patching file src/gcc/testsuite/gcc.target/hppa/shadd-2.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr96551-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr96551-2.c patching file src/gcc/testsuite/gcc.target/i386/avx512f-pr97028.c patching file src/gcc/testsuite/gcc.target/i386/double_mask_reg-1.c patching file src/gcc/testsuite/gcc.target/i386/fma4-256-maccXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-256-msubXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-256-nmaccXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-256-nmsubXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-maccXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-msubXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-nmaccXX.c patching file src/gcc/testsuite/gcc.target/i386/fma4-nmsubXX.c patching file src/gcc/testsuite/gcc.target/i386/memcpy-pr95886.c patching file src/gcc/testsuite/gcc.target/i386/movdir64b.c patching file src/gcc/testsuite/gcc.target/i386/movdiri32.c patching file src/gcc/testsuite/gcc.target/i386/movdiri64.c patching file src/gcc/testsuite/gcc.target/i386/pr82673.c patching file src/gcc/testsuite/gcc.target/i386/pr88240.c patching file src/gcc/testsuite/gcc.target/i386/pr92865-1.c patching file src/gcc/testsuite/gcc.target/i386/pr94440-1.c patching file src/gcc/testsuite/gcc.target/i386/pr94440-2.c patching file src/gcc/testsuite/gcc.target/i386/pr95464.c patching file src/gcc/testsuite/gcc.target/i386/pr96203-1.c patching file src/gcc/testsuite/gcc.target/i386/pr96203-2.c patching file src/gcc/testsuite/gcc.target/i386/pr96562-1.c patching file src/gcc/testsuite/gcc.target/i386/pr96793-1.c patching file src/gcc/testsuite/gcc.target/i386/pr96793-2.c patching file src/gcc/testsuite/gcc.target/i386/pr96793.c patching file src/gcc/testsuite/gcc.target/i386/pr96827.c patching file src/gcc/testsuite/gcc.target/i386/pr97032.c patching file src/gcc/testsuite/gcc.target/i386/pr97313.c patching file src/gcc/testsuite/gcc.target/i386/pr97506.c patching file src/gcc/testsuite/gcc.target/i386/pr97887.c patching file src/gcc/testsuite/gcc.target/i386/pr98063.c patching file src/gcc/testsuite/gcc.target/i386/pr98086.c patching file src/gcc/testsuite/gcc.target/i386/pr98100.c patching file src/gcc/testsuite/gcc.target/i386/pr98522.c patching file src/gcc/testsuite/gcc.target/i386/xop-haddX.c patching file src/gcc/testsuite/gcc.target/i386/xop-hadduX.c patching file src/gcc/testsuite/gcc.target/i386/xop-hsubX.c patching file src/gcc/testsuite/gcc.target/i386/xsave-avx-1.c patching file src/gcc/testsuite/gcc.target/powerpc/mma-alignment.c patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-3.c patching file src/gcc/testsuite/gcc.target/powerpc/mma-double-test.c patching file src/gcc/testsuite/gcc.target/powerpc/mma-single-test.c patching file src/gcc/testsuite/gcc.target/powerpc/pr64505.c patching file src/gcc/testsuite/gcc.target/powerpc/pr95450.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96139-a.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96139-b.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96139-c.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96446.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96493.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96506.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96530.c patching file src/gcc/testsuite/gcc.target/powerpc/pr96808.c patching file src/gcc/testsuite/gcc.target/powerpc/pr97360.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-add.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-di-constant.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-ds-dq.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-dd.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-df.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-di.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-hi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-kf.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-qi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-sd.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-sf.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-si.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-udi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-uhi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-uqi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-usi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large-v2df.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-large.h patching file src/gcc/testsuite/gcc.target/powerpc/prefix-no-update.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-dd.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-df.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-di.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-hi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-kf.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-qi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-sd.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-sf.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-si.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-udi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-uhi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-uqi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-usi.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel-v2df.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-pcrel.h patching file src/gcc/testsuite/gcc.target/powerpc/prefix-si-constant.c patching file src/gcc/testsuite/gcc.target/powerpc/prefix-stack-protect.c patching file src/gcc/testsuite/gcc.target/riscv/interrupt-3.c patching file src/gcc/testsuite/gcc.target/riscv/interrupt-4.c patching file src/gcc/testsuite/gcc.target/riscv/pr91441.c patching file src/gcc/testsuite/gcc.target/riscv/pr96260.c patching file src/gcc/testsuite/gcc.target/riscv/pr96759.c patching file src/gcc/testsuite/gcc.target/s390/pr96456.c patching file src/gcc/testsuite/gcc.target/sparc/overflow-6.c patching file src/gcc/testsuite/gdc.dg/pr96140.d patching file src/gcc/testsuite/gdc.dg/pr96157a.d patching file src/gcc/testsuite/gdc.dg/pr96157b.d patching file src/gcc/testsuite/gdc.dg/pr96254a.d patching file src/gcc/testsuite/gdc.dg/pr96254b.d patching file src/gcc/testsuite/gdc.dg/pr96301a.d patching file src/gcc/testsuite/gdc.dg/pr96301b.d patching file src/gcc/testsuite/gdc.dg/pr96301c.d patching file src/gcc/testsuite/gdc.dg/pr96924.d patching file src/gcc/testsuite/gdc.dg/pr97843.d patching file src/gcc/testsuite/gdc.dg/pr97889.d patching file src/gcc/testsuite/gdc.dg/pr98277.d patching file src/gcc/testsuite/gdc.test/compilable/b9490.d patching file src/gcc/testsuite/gdc.test/compilable/ice14739.d patching file src/gcc/testsuite/gdc.test/compilable/ice20092.d patching file src/gcc/testsuite/gdc.test/compilable/imports/test21299/func.d patching file src/gcc/testsuite/gdc.test/compilable/imports/test21299/mtype.d patching file src/gcc/testsuite/gdc.test/compilable/imports/test21299/rootstringtable.d patching file src/gcc/testsuite/gdc.test/compilable/interpret3.d patching file src/gcc/testsuite/gdc.test/compilable/test21299a.d patching file src/gcc/testsuite/gdc.test/compilable/test21299b.d patching file src/gcc/testsuite/gdc.test/compilable/test21299c.d patching file src/gcc/testsuite/gdc.test/compilable/test21299d.d patching file src/gcc/testsuite/gdc.test/fail_compilation/fail17492.d patching file src/gcc/testsuite/gdc.test/fail_compilation/fail18970.d patching file src/gcc/testsuite/gdc.test/fail_compilation/fail21001.d patching file src/gcc/testsuite/gdc.test/fail_compilation/ice21060.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/fail21001b.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/ice21060a/package.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/ice21060b/package.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/ice21060c/package.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/ice21060d/package.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/issue21295ast_node.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/issue21295astcodegen.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/issue21295dtemplate.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/issue21295visitor.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/test21164a.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/test21164b.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/test21164c.d patching file src/gcc/testsuite/gdc.test/fail_compilation/imports/test21164d.d patching file src/gcc/testsuite/gdc.test/fail_compilation/issue21295.d patching file src/gcc/testsuite/gdc.test/fail_compilation/reg6769.d patching file src/gcc/testsuite/gdc.test/fail_compilation/test21164.d patching file src/gcc/testsuite/gdc.test/runnable/b16278.d patching file src/gcc/testsuite/gfortran.dg/char_length_23.f90 patching file src/gcc/testsuite/gfortran.dg/class_allocate_25.f90 patching file src/gcc/testsuite/gfortran.dg/class_assign_4.f90 patching file src/gcc/testsuite/gfortran.dg/coverage.f90 patching file src/gcc/testsuite/gfortran.dg/dependency_60.f90 patching file src/gcc/testsuite/gfortran.dg/entry_23.f patching file src/gcc/testsuite/gfortran.dg/finalize_37.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_en.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_en_rd.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_en_rn.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_en_ru.f90 patching file src/gcc/testsuite/gfortran.dg/fmt_en_rz.f90 patching file src/gcc/testsuite/gfortran.dg/forall_19.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/classify-kernels-unparallelized.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/classify-kernels.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/classify-parallel.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/classify-serial.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/clause-locations.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/loop-2-parallel-3.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/loop-5.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/loop-6.f95 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-1-kernels.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-1-parallel.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-1-routine.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-2-kernels.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-2-parallel.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-2-routine.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions-warn.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/nested-reductions.f90 patching file src/gcc/testsuite/gfortran.dg/goacc/pr92793-1.f90 patching file src/gcc/testsuite/gfortran.dg/gomp/openmp-simd-5.f90 patching file src/gcc/testsuite/gfortran.dg/iall_masked.f90 patching file src/gcc/testsuite/gfortran.dg/implicit_pure_5.c patching file src/gcc/testsuite/gfortran.dg/implicit_pure_5.f90 patching file src/gcc/testsuite/gfortran.dg/index_4.f90 patching file src/gcc/testsuite/gfortran.dg/matmul_20.f90 patching file src/gcc/testsuite/gfortran.dg/module_procedure_4.f90 patching file src/gcc/testsuite/gfortran.dg/optional_assumed_charlen_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr85796.f90 patching file src/gcc/testsuite/gfortran.dg/pr89574.f90 patching file src/gcc/testsuite/gfortran.dg/pr91589.f90 patching file src/gcc/testsuite/gfortran.dg/pr93423.f90 patching file src/gcc/testsuite/gfortran.dg/pr93685_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr93685_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr95342.f90 patching file src/gcc/testsuite/gfortran.dg/pr95398.f90 patching file src/gcc/testsuite/gfortran.dg/pr95585.f90 patching file src/gcc/testsuite/gfortran.dg/pr95612.f90 patching file src/gcc/testsuite/gfortran.dg/pr95882_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr95882_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr95882_3.f90 patching file src/gcc/testsuite/gfortran.dg/pr95882_4.f90 patching file src/gcc/testsuite/gfortran.dg/pr95882_5.f90 patching file src/gcc/testsuite/gfortran.dg/pr95980.f90 patching file src/gcc/testsuite/gfortran.dg/pr95980_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr96086.f90 patching file src/gcc/testsuite/gfortran.dg/pr96312.f90 patching file src/gcc/testsuite/gfortran.dg/pr96319.f90 patching file src/gcc/testsuite/gfortran.dg/pr96325.f90 patching file src/gcc/testsuite/gfortran.dg/pr96486.f90 patching file src/gcc/testsuite/gfortran.dg/pr96859.f90 patching file src/gcc/testsuite/gfortran.dg/pr97272.f90 patching file src/gcc/testsuite/gfortran.dg/pr97768_1.f90 patching file src/gcc/testsuite/gfortran.dg/pr97768_2.f90 patching file src/gcc/testsuite/gfortran.dg/pr98017.f90 patching file src/gcc/testsuite/gfortran.dg/round_3.f08 patching file src/gcc/testsuite/gfortran.dg/unlimited_polymorphic_32.f03 patching file src/gcc/testsuite/gfortran.dg/value_8.f90 patching file src/gcc/testsuite/gfortran.dg/vect/pr96920.f90 patching file src/gcc/testsuite/gnat.dg/addr16.adb patching file src/gcc/testsuite/gnat.dg/addr16_pkg.ads patching file src/gcc/testsuite/gnat.dg/bias2.adb patching file src/gcc/testsuite/gnat.dg/discr59.adb patching file src/gcc/testsuite/gnat.dg/discr59_pkg1.ads patching file src/gcc/testsuite/gnat.dg/discr59_pkg2.ads patching file src/gcc/testsuite/gnat.dg/modular6.adb patching file src/gcc/testsuite/gnat.dg/opt39.adb patching file src/gcc/testsuite/gnat.dg/opt89.adb patching file src/gcc/testsuite/gnat.dg/opt91.adb patching file src/gcc/testsuite/gnat.dg/opt91.ads patching file src/gcc/testsuite/gnat.dg/opt91_pkg.adb patching file src/gcc/testsuite/gnat.dg/opt91_pkg.ads patching file src/gcc/testsuite/gnat.dg/pack27.adb patching file src/gcc/testsuite/gnat.dg/pack27_pkg.ads patching file src/gcc/testsuite/gnat.dg/shift1.adb patching file src/gcc/testsuite/gnat.dg/specs/discr7.ads patching file src/gcc/testsuite/gnat.dg/specs/size_clause5.ads patching file src/gcc/testsuite/lib/gcc-dg.exp patching file src/gcc/testsuite/lib/target-supports.exp patching file src/gcc/timevar.def patching file src/gcc/toplev.c patching file src/gcc/tree-cfg.c patching file src/gcc/tree-complex.c patching file src/gcc/tree-if-conv.c patching file src/gcc/tree-inline.c patching file src/gcc/tree-nested.c patching file src/gcc/tree-pass.h patching file src/gcc/tree-sra.c patching file src/gcc/tree-ssa-address.c patching file src/gcc/tree-ssa-ccp.c patching file src/gcc/tree-ssa-loop-split.c patching file src/gcc/tree-ssa-pre.c patching file src/gcc/tree-ssa-reassoc.c patching file src/gcc/tree-ssa-sccvn.c patching file src/gcc/tree-ssa-sccvn.h patching file src/gcc/tree-ssa-strlen.c patching file src/gcc/tree-streamer-in.c patching file src/gcc/tree-streamer-out.c patching file src/gcc/tree-streamer.c patching file src/gcc/tree-switch-conversion.c patching file src/gcc/tree-switch-conversion.h patching file src/gcc/tree-vect-generic.c patching file src/gcc/tree-vect-loop-manip.c patching file src/gcc/tree-vect-loop.c patching file src/gcc/tree-vect-patterns.c patching file src/gcc/tree-vect-slp.c patching file src/gcc/tree-vect-stmts.c patching file src/gcc/tree-vrp.c patching file src/gcc/tree.c patching file src/gcc/tree.h patching file src/gcc/value-prof.c patching file src/gcc/value-range.cc patching file src/gcc/varasm.c patching file src/gcc/vec.h patching file src/gcc/wide-int.cc patching file src/libatomic/ChangeLog patching file src/libatomic/configure patching file src/libbacktrace/ChangeLog patching file src/libbacktrace/configure patching file src/libcc1/ChangeLog patching file src/libcc1/Makefile.am patching file src/libcc1/Makefile.in patching file src/libcc1/configure patching file src/libcc1/configure.ac patching file src/libcpp/ChangeLog patching file src/libcpp/init.c patching file src/libcpp/lex.c patching file src/libcpp/po/ChangeLog patching file src/libcpp/po/es.po patching file src/libcpp/traditional.c patching file src/libffi/ChangeLog patching file src/libffi/configure patching file src/libffi/src/powerpc/linux64.S patching file src/libffi/src/powerpc/linux64_closure.S patching file src/libgcc/ChangeLog patching file src/libgcc/config/aarch64/lse.S patching file src/libgcc/libgcov-driver.c patching file src/libgfortran/ChangeLog patching file src/libgfortran/configure patching file src/libgfortran/generated/iall_i1.c patching file src/libgfortran/generated/iall_i16.c patching file src/libgfortran/generated/iall_i2.c patching file src/libgfortran/generated/iall_i4.c patching file src/libgfortran/generated/iall_i8.c patching file src/libgfortran/generated/matmul_c10.c patching file src/libgfortran/generated/matmul_c16.c patching file src/libgfortran/generated/matmul_c4.c patching file src/libgfortran/generated/matmul_c8.c patching file src/libgfortran/generated/matmul_i1.c patching file src/libgfortran/generated/matmul_i16.c patching file src/libgfortran/generated/matmul_i2.c patching file src/libgfortran/generated/matmul_i4.c patching file src/libgfortran/generated/matmul_i8.c patching file src/libgfortran/generated/matmul_r10.c patching file src/libgfortran/generated/matmul_r16.c patching file src/libgfortran/generated/matmul_r4.c patching file src/libgfortran/generated/matmul_r8.c patching file src/libgfortran/generated/matmulavx128_c10.c patching file src/libgfortran/generated/matmulavx128_c16.c patching file src/libgfortran/generated/matmulavx128_c4.c patching file src/libgfortran/generated/matmulavx128_c8.c patching file src/libgfortran/generated/matmulavx128_i1.c patching file src/libgfortran/generated/matmulavx128_i16.c patching file src/libgfortran/generated/matmulavx128_i2.c patching file src/libgfortran/generated/matmulavx128_i4.c patching file src/libgfortran/generated/matmulavx128_i8.c patching file src/libgfortran/generated/matmulavx128_r10.c patching file src/libgfortran/generated/matmulavx128_r16.c patching file src/libgfortran/generated/matmulavx128_r4.c patching file src/libgfortran/generated/matmulavx128_r8.c patching file src/libgfortran/intrinsics/env.c patching file src/libgfortran/intrinsics/execute_command_line.c patching file src/libgfortran/io/write_float.def patching file src/libgfortran/m4/iall.m4 patching file src/libgfortran/m4/matmul_internal.m4 patching file src/libgo/MERGE patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in patching file src/libgo/VERSION patching file src/libgo/go/cmd/go/go_test.go patching file src/libgo/go/cmd/go/testdata/test_regexps.txt patching file src/libgo/go/crypto/x509/root_windows.go patching file src/libgo/go/crypto/x509/verify.go patching file src/libgo/go/crypto/x509/verify_test.go patching file src/libgo/go/database/sql/driver/driver.go patching file src/libgo/go/database/sql/fakedb_test.go patching file src/libgo/go/database/sql/sql.go patching file src/libgo/go/database/sql/sql_test.go patching file src/libgo/go/encoding/json/decode.go patching file src/libgo/go/encoding/json/decode_test.go patching file src/libgo/go/golang.org/x/tools/go/analysis/passes/printf/printf.go patching file src/libgo/go/net/http/fs.go patching file src/libgo/go/net/http/fs_test.go patching file src/libgo/go/net/http/server.go patching file src/libgo/go/reflect/all_test.go patching file src/libgo/go/reflect/deepequal.go patching file src/libgo/go/reflect/type.go patching file src/libgo/go/reflect/value.go patching file src/libgo/go/testing/benchmark.go patching file src/libgo/go/testing/sub_test.go patching file src/libgo/go/testing/testing.go patching file src/libgomp/ChangeLog patching file src/libgomp/Makefile.in patching file src/libgomp/configure patching file src/libgomp/libgomp.h patching file src/libgomp/oacc-mem.c patching file src/libgomp/plugin/plugin-nvptx.c patching file src/libgomp/target.c patching file src/libgomp/testsuite/lib/libgomp.exp patching file src/libgomp/testsuite/libgomp.c-c++-common/for-14.c patching file src/libgomp/testsuite/libgomp.c-c++-common/for-2.h patching file src/libgomp/testsuite/libgomp.c/task-6.c patching file src/libgomp/testsuite/libgomp.c/teams-3.c patching file src/libgomp/testsuite/libgomp.fortran/pr93553.f90 patching file src/libgomp/testsuite/libgomp.oacc-c++/cache-1.C patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/cache-1.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/mdc-refcount-1.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/mdc-refcount-2.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/mdc-refcount-3.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/pr85486-2.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/pr85486-3.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/pr85486.c patching file src/libgomp/testsuite/libgomp.oacc-c-c++-common/structured-detach-underflow.c patching file src/libgomp/testsuite/libgomp.oacc-fortran/deep-copy-6-no_finalize.F90 patching file src/libgomp/testsuite/libgomp.oacc-fortran/deep-copy-6.f90 patching file src/libhsail-rt/ChangeLog patching file src/libhsail-rt/configure patching file src/libiberty/ChangeLog patching file src/libiberty/simple-object-elf.c patching file src/libitm/ChangeLog patching file src/libitm/configure patching file src/libitm/configure.tgt patching file src/libobjc/ChangeLog patching file src/libobjc/configure patching file src/libobjc/encoding.c patching file src/libphobos/ChangeLog patching file src/libphobos/configure patching file src/libphobos/libdruntime/config/mips/switchcontext.S patching file src/libquadmath/ChangeLog patching file src/libquadmath/configure patching file src/libsanitizer/ChangeLog patching file src/libsanitizer/asan/asan_thread.cpp patching file src/libsanitizer/configure patching file src/libsanitizer/configure.tgt patching file src/libsanitizer/sanitizer_common/sanitizer_linux.cpp patching file src/libssp/ChangeLog patching file src/libssp/configure patching file src/libstdc++-v3/ChangeLog patching file src/libstdc++-v3/acinclude.m4 patching file src/libstdc++-v3/config/abi/post/powerpc-linux-gnu/baseline_symbols.txt patching file src/libstdc++-v3/config/abi/post/powerpc64-linux-gnu/32/baseline_symbols.txt patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h patching file src/libstdc++-v3/configure patching file src/libstdc++-v3/doc/html/manual/api.html patching file src/libstdc++-v3/doc/html/manual/configure.html patching file src/libstdc++-v3/doc/html/manual/containers.html patching file src/libstdc++-v3/doc/html/manual/source_code_style.html patching file src/libstdc++-v3/doc/html/manual/status.html patching file src/libstdc++-v3/doc/xml/manual/appendix_contributing.xml patching file src/libstdc++-v3/doc/xml/manual/configure.xml patching file src/libstdc++-v3/doc/xml/manual/containers.xml patching file src/libstdc++-v3/doc/xml/manual/evolution.xml patching file src/libstdc++-v3/doc/xml/manual/status_cxx2020.xml patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/libstdc++-v3/include/bits/basic_string.h patching file src/libstdc++-v3/include/bits/basic_string.tcc patching file src/libstdc++-v3/include/bits/c++config patching file src/libstdc++-v3/include/bits/char_traits.h patching file src/libstdc++-v3/include/bits/cpp_type_traits.h patching file src/libstdc++-v3/include/bits/int_limits.h patching file src/libstdc++-v3/include/bits/ios_base.h patching file src/libstdc++-v3/include/bits/iterator_concepts.h patching file src/libstdc++-v3/include/bits/parse_numbers.h patching file src/libstdc++-v3/include/bits/random.h patching file src/libstdc++-v3/include/bits/range_access.h patching file src/libstdc++-v3/include/bits/ranges_algo.h patching file src/libstdc++-v3/include/bits/ranges_uninitialized.h patching file src/libstdc++-v3/include/bits/stl_algo.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/bits/stl_iterator.h patching file src/libstdc++-v3/include/debug/bitset patching file src/libstdc++-v3/include/debug/functions.h patching file src/libstdc++-v3/include/experimental/numeric patching file src/libstdc++-v3/include/experimental/string_view patching file src/libstdc++-v3/include/ext/numeric_traits.h patching file src/libstdc++-v3/include/precompiled/stdc++.h patching file src/libstdc++-v3/include/std/array patching file src/libstdc++-v3/include/std/bit patching file src/libstdc++-v3/include/std/charconv patching file src/libstdc++-v3/include/std/concepts patching file src/libstdc++-v3/include/std/coroutine patching file src/libstdc++-v3/include/std/functional patching file src/libstdc++-v3/include/std/future patching file src/libstdc++-v3/include/std/limits patching file src/libstdc++-v3/include/std/memory patching file src/libstdc++-v3/include/std/memory_resource patching file src/libstdc++-v3/include/std/numeric patching file src/libstdc++-v3/include/std/optional patching file src/libstdc++-v3/include/std/ranges patching file src/libstdc++-v3/include/std/regex patching file src/libstdc++-v3/include/std/span patching file src/libstdc++-v3/include/std/stop_token patching file src/libstdc++-v3/include/std/streambuf patching file src/libstdc++-v3/include/std/string_view patching file src/libstdc++-v3/include/std/thread patching file src/libstdc++-v3/include/std/tuple patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/std/utility patching file src/libstdc++-v3/include/std/variant patching file src/libstdc++-v3/include/std/version patching file src/libstdc++-v3/python/libstdcxx/v6/printers.py patching file src/libstdc++-v3/src/c++11/futex.cc patching file src/libstdc++-v3/src/c++17/fs_ops.cc patching file src/libstdc++-v3/src/c++17/fs_path.cc patching file src/libstdc++-v3/src/c++17/memory_resource.cc patching file src/libstdc++-v3/src/filesystem/dir.cc patching file src/libstdc++-v3/src/filesystem/ops-common.h patching file src/libstdc++-v3/src/filesystem/ops.cc patching file src/libstdc++-v3/testsuite/17_intro/badnames.cc patching file src/libstdc++-v3/testsuite/17_intro/names.cc patching file src/libstdc++-v3/testsuite/20_util/assume_aligned/1.cc patching file src/libstdc++-v3/testsuite/20_util/assume_aligned/97132.cc patching file src/libstdc++-v3/testsuite/20_util/function_objects/bind_front/97101.cc patching file src/libstdc++-v3/testsuite/20_util/optional/relops/96269.cc patching file src/libstdc++-v3/testsuite/20_util/specialized_algorithms/construct_at/95788.cc patching file src/libstdc++-v3/testsuite/20_util/tuple/cons/96803.cc patching file src/libstdc++-v3/testsuite/20_util/unsynchronized_pool_resource/allocate.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/allocator/char/minimal.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/allocator/wchar_t/minimal.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/cons/char/deduction.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/cons/wchar_t/deduction.cc patching file src/libstdc++-v3/testsuite/21_strings/char_traits/requirements/constexpr_functions_c++17.cc patching file src/libstdc++-v3/testsuite/23_containers/array/comparison_operators/96851.cc patching file src/libstdc++-v3/testsuite/23_containers/array/tuple_interface/get_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/bitset/operations/96303.cc patching file src/libstdc++-v3/testsuite/23_containers/span/back_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/front_neg.cc patching file src/libstdc++-v3/testsuite/23_containers/span/index_op_neg.cc patching file src/libstdc++-v3/testsuite/24_iterators/associated_types/iterator.traits.cc patching file src/libstdc++-v3/testsuite/24_iterators/reverse_iterator/cust.cc patching file src/libstdc++-v3/testsuite/25_algorithms/for_each/constexpr.cc patching file src/libstdc++-v3/testsuite/25_algorithms/lexicographical_compare/constrained.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/feature_test-2.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/feature_test-3.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/feature_test-5.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/feature_test.cc patching file src/libstdc++-v3/testsuite/25_algorithms/sample/3.cc patching file src/libstdc++-v3/testsuite/25_algorithms/search/constexpr.cc patching file src/libstdc++-v3/testsuite/25_algorithms/search_n/97828.cc patching file src/libstdc++-v3/testsuite/26_numerics/gcd/92978.cc patching file src/libstdc++-v3/testsuite/26_numerics/gcd/gcd_neg.cc patching file src/libstdc++-v3/testsuite/26_numerics/lcm/92978.cc patching file src/libstdc++-v3/testsuite/26_numerics/lcm/lcm_neg.cc patching file src/libstdc++-v3/testsuite/27_io/basic_fstream/cons/base.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/path/append/source.cc patching file src/libstdc++-v3/testsuite/27_io/ios_base/failure/cxx11.cc patching file src/libstdc++-v3/testsuite/27_io/ios_base/failure/error_code.cc patching file src/libstdc++-v3/testsuite/27_io/types/1.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_float/value_init.cc patching file src/libstdc++-v3/testsuite/30_threads/future/members/93456.cc patching file src/libstdc++-v3/testsuite/30_threads/future/members/poll.cc patching file src/libstdc++-v3/testsuite/30_threads/jthread/95989.cc patching file src/libstdc++-v3/testsuite/30_threads/jthread/jthread.cc patching file src/libstdc++-v3/testsuite/30_threads/this_thread/95989.cc patching file src/libstdc++-v3/testsuite/experimental/filesystem/iterators/97731.cc patching file src/libstdc++-v3/testsuite/experimental/net/execution_context/make_service.cc patching file src/libstdc++-v3/testsuite/experimental/net/executor/1.cc patching file src/libstdc++-v3/testsuite/experimental/net/headers.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/address/v4/comparisons.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/address/v4/cons.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/address/v4/creation.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/address/v4/members.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/resolver/base.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/resolver/ops/lookup.cc patching file src/libstdc++-v3/testsuite/experimental/net/internet/resolver/ops/reverse.cc patching file src/libstdc++-v3/testsuite/experimental/net/socket/basic_socket.cc patching file src/libstdc++-v3/testsuite/experimental/net/timer/waitable/cons.cc patching file src/libstdc++-v3/testsuite/experimental/net/timer/waitable/dest.cc patching file src/libstdc++-v3/testsuite/experimental/net/timer/waitable/ops.cc patching file src/libstdc++-v3/testsuite/experimental/numeric/92978.cc patching file src/libstdc++-v3/testsuite/ext/stdio_filebuf/char/79820.cc patching file src/libstdc++-v3/testsuite/libstdc++-prettyprinters/compat.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/95322.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/elements.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/join.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/lwg3406.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/take_while.cc patching file src/libstdc++-v3/testsuite/std/ranges/iota/96042.cc patching file src/libstdc++-v3/testsuite/std/ranges/subrange/96042.cc patching file src/libtool.m4 patching file src/libvtv/ChangeLog patching file src/libvtv/configure patching file src/lto-plugin/ChangeLog patching file src/lto-plugin/lto-plugin.c patching file src/zlib/ChangeLog patching file src/zlib/configure Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch gcc-textdomain.diff patching file src/gcc/intl.c patching file src/gcc/Makefile.in patching file src/libcpp/init.c patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.c patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h patching file src/gcc/c-family/c-cppbuiltin.c Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-pic.diff patching file src/libstdc++-v3/src/Makefile.am patching file src/libstdc++-v3/src/Makefile.in Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.c Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Hunk #1 succeeded at 123 (offset 1 line). Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libjit-ldflags.diff patching file src/gcc/jit/Make-lang.in Applying patch libffi-pax.diff patching file src/libffi/configure.ac patching file src/libffi/src/closures.c Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/std/numbers Applying patch libffi-mipsen-r6.diff patching file src/libffi/src/mips/n32.S patching file src/libffi/src/mips/ffi.c Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Hunk #2 succeeded at 6678 (offset 3 lines). Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.c Applying patch kfreebsd-decimal-float.diff patching file src/gcc/configure.ac patching file src/libdecnumber/configure.ac patching file src/libgcc/configure.ac Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.c Applying patch libgomp-no-werror.diff patching file src/libgomp/configure.ac Applying patch gdc-cross-build.diff patching file src/gcc/d/Make-lang.in patching file src/gcc/d/d-system.h Applying patch pr94253.diff patching file src/gcc/config/rs6000/rs6000.c Applying patch aarch64-offload.diff patching file src/gcc/config/aarch64/aarch64.c Applying patch pr95842.diff patching file src/gcc/common/config/i386/i386-common.c patching file src/gcc/common/config/i386/i386-cpuinfo.h patching file src/gcc/config/i386/i386-builtins.c patching file src/gcc/config/i386/i386.h Applying patch pr97250-1.diff patching file src/gcc/common/config/i386/i386-common.c patching file src/gcc/config/i386/i386-options.c patching file src/gcc/config/i386/i386.h patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-haswell.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3-skylake.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4.c Applying patch pr97250-2.diff patching file src/gcc/testsuite/gcc.target/i386/x86-64-v2.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v3.c patching file src/gcc/testsuite/gcc.target/i386/x86-64-v4.c Applying patch pr97250-3.diff patching file src/gcc/config.gcc Applying patch pr97250-4.diff patching file src/gcc/config/i386/i386-options.c patching file src/gcc/testsuite/gcc.target/i386/pr98274.c Applying patch pr97714.diff patching file src/gcc/final.c Hunk #1 succeeded at 3232 (offset -18 lines). patching file src/gcc/testsuite/gcc.dg/debug/pr97714.c Applying patch gm2.diff patching file src/configure.ac patching file src/gcc/c/gccspec.c patching file src/gcc/c-family/cppspec.c patching file src/gcc/cp/g++spec.c patching file src/gcc/gcc.c patching file src/gcc/gcc.h patching file src/Makefile.def patching file src/Makefile.in patching file src/Makefile.tpl patching file src/gcc/go/gospec.c patching file src/gcc/fortran/gfortranspec.c patching file src/gcc/d/d-spec.cc patching file src/gcc/brig/brigspec.c Applying patch gm2-texinfo.diff patching file src/gcc/m2/gm2.texi Applying patch gm2-bootstrap-compare.diff patching file src/configure.ac Applying patch gm2-jit-def.diff patching file src/gcc/jit/jit-spec.c Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-nobiarch-check.diff patching file src/gcc/Makefile.in Hunk #1 succeeded at 4046 with fuzz 2 (offset -464 lines). Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-libgnat_util.diff patching file src/libgnat_util/configure.ac patching file src/libgnat_util/gnatvsn.gpr patching file src/libgnat_util/gnat_util.gpr.in patching file src/libgnat_util/Makefile.am patching file src/Makefile.def patching file src/configure.ac patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/testsuite/ada/acats/run_acats.sh patching file src/gcc/testsuite/lib/gnat.exp patching file src/libgnat_util/Makefile.in patching file src/libgnat_util/aclocal.m4 Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl patching file src/Makefile.def patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-kfreebsd.diff patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/s-oscons-tmplt.c Applying patch ada-749574.diff patching file src/gcc/ada/gnatlink.adb Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch gdc-texinfo.diff patching file src/gcc/d/gdc.texi Applying patch sys-auxv-header.diff patching file src/gcc/configure.ac patching file src/gcc/config.in patching file src/gcc/config/rs6000/driver-rs6000.c Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.c Applying patch gcc-foffload-default.diff patching file src/gcc/gcc.c patching file src/gcc/lto-wrapper.c patching file src/libgomp/target.c Applying patch libstdc++-pythondir.diff patching file src/libstdc++-v3/python/Makefile.am patching file src/libstdc++-v3/python/Makefile.in Applying patch arm-multilib-soft.diff patching file src/gcc/config/arm/t-linux-eabi Applying patch arm-multilib-defaults.diff patching file src/gcc/config.gcc Hunk #1 succeeded at 4251 (offset 5 lines). Hunk #2 succeeded at 4297 (offset 5 lines). patching file src/gcc/config/arm/linux-eabi.h Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.c Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.c Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch libffi-mips.diff patching file src/libffi/src/mips/ffi.c patching file src/libffi/src/mips/ffitarget.h patching file src/libffi/src/mips/n32.S patching file src/libffi/src/mips/o32.S Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/i386/t-kfreebsd patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc Hunk #1 succeeded at 2524 (offset 1 line). Hunk #2 succeeded at 2539 (offset 1 line). Hunk #3 succeeded at 3561 (offset 5 lines). Hunk #4 succeeded at 5239 (offset 16 lines). patching file src/gcc/config/mips/mips.h patching file src/gcc/config/tilegx/t-tilegx patching file src/gcc/config/riscv/t-linux patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.c patching file src/gcc/incpath.c Applying patch canonical-cpppath.diff patching file src/gcc/incpath.c Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config/rs6000/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.c patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.c Applying patch libgomp-kfreebsd-testsuite.diff patching file src/libgomp/testsuite/libgomp.c/lock-2.c Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Applying patch ada-changes-in-autogen-output.diff patching file src/Makefile.in Applying patch fix_ghdl_ppc64.diff patching file src/gcc/config/rs6000/rs6000-logue.c Now at patch fix_ghdl_ppc64.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libdecnumber/ src/libffi/ src/libgcc/ src/libgnat_util/ src/libgo/ src/libgomp/ src/libstdc++-v3/ | xargs -d ' ' -L 1 -P 15 -I{} \ sh -c 'echo "Running autoconf in {}..." ; \ cd /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te autoconf' xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value Running autoconf in src/... Running autoconf in src/gcc/... Running autoconf in src/libcc1/... Running autoconf in src/libdecnumber/... Running autoconf in src/libffi/... Running autoconf in src/libgcc/... Running autoconf in src/libgnat_util/... Running autoconf in src/libgo/... Running autoconf in src/libgomp/... Running autoconf in src/libstdc++-v3/... for i in git-updates gcc-gfdl-build gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-pic libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libjit-ldflags libffi-pax libffi-race-condition cuda-float128 libffi-mipsen-r6 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld kfreebsd-decimal-float pr87808 libgomp-no-werror gdc-cross-build pr94253 aarch64-offload pr95842 pr97250-1 pr97250-2 pr97250-3 pr97250-4 pr97714 gm2 gm2-texinfo gm2-bootstrap-compare gm2-jit-def ada-gcc-name ada-verbose ada-nobiarch-check ada-link-lib ada-libgnat_util ada-gnattools-cross ada-lib-info-source-date-epoch ada-armel-libatomic ada-kfreebsd ada-749574 ada-perl-shebang gdc-texinfo sys-auxv-header gdc-dynamic-link-phobos ia64-disable-selective-scheduling gcc-foffload-default libstdc++-pythondir arm-multilib-soft arm-multilib-defaults gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect libffi-mips gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold libgomp-kfreebsd-testsuite go-testsuite ada-changes-in-autogen-output fix_ghdl_ppc64 ; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '/* distro specific configuration injected by the distro build. */'; \ echo ''; \ echo '#ifndef ACCEL_COMPILER' \ ) >> /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#endif' \ >> /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' Build machine is: i686-linux-gnu create pic/ subdirectory Creating ghdl.gpr Creating Makefile make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/gcc/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' Generate ghdlsynth_maybe.ads Generate default_paths.ads make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \ GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \ GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \ sed \ -e "s#@VER@#1.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.*) gcc_ortho_lang=ortho-lang-9.c ;; \ 10.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p ../../scripts/gcc/Make-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /bin/sed checking for gawk... gawk checking for libatomic support... yes checking for libitm support... yes checking for libsanitizer support... yes checking for libvtv support... yes checking for libhsail-rt support... yes checking for libphobos support... yes checking for gcc... gnatgcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... none needed checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for gnatbind... gnatbind checking for gnatmake... gnatmake checking whether compiler driver understands Ada... yes checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr/mpc libraries... yes The following languages will be built: c,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath gnattools gotools target-libada target-libgnat_util target-libhsail-rt target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgomp target-liboffloadmic target-libssp target-libitm target-libsanitizer target-libvtv (Any other directories should still work fine.) checking for default BUILD_CONFIG... checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... no /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing: line 81: makeinfo: command not found checking for expect... no checking for runtest... no checking for ar... ar checking for as... as checking for dlltool... no checking for ld... ld checking for lipo... no checking for nm... nm checking for ranlib... ranlib checking for strip... strip checking for windres... no checking for windmc... no checking for objcopy... objcopy checking for objdump... objdump checking for otool... no checking for readelf... readelf checking for cc... cc checking for c++... c++ checking for gcc... gcc checking for gfortran... no checking for gccgo... no checking for gdc... no checking for gm2... no checking for ar... no checking for ar... ar checking for as... no checking for as... as checking for dlltool... no checking for dlltool... no checking for ld... no checking for ld... ld checking for lipo... no checking for lipo... no checking for nm... no checking for nm... nm checking for objcopy... no checking for objcopy... objcopy checking for objdump... no checking for objdump... objdump checking for otool... no checking for otool... no checking for ranlib... no checking for ranlib... ranlib checking for readelf... no checking for readelf... readelf checking for strip... no checking for strip... strip checking for windres... no checking for windres... no checking for windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile make[1]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg' debian/rules override_dh_auto_build make[1]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg' ------------------------------------------------------------ Building with mcode backend ------------------------------------------------------------ if [ -n "1" ]; then \ /usr/bin/make -C /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode; \ fi make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o jumps.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/config/jumps.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o times.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/config/times.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o grt-cstdio.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt-cstdio.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o grt-cgnatrts.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt-cgnatrts.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o grt-cvpi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt-cvpi.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o grt-cdynload.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt-cdynload.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o fstapi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/fst gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o lz4.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/fst/lz4.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o fastlz.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/fst/fastlz.c echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads gnatgcc -c -g -o memsegs_c.o ../../src/ortho/mcode/memsegs_c.c gnatgcc -c -g -fPIC -DWITH_GNAT_RUN_TIME -o chkstk.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/config/chkstk.S GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \ GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \ GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \ sed \ -e "s#@VER@#1.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -o ghdl_mcode -gnat12 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A ghdl_jit.adb -bargs -E -largs memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdl_jit.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdllocal.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlmain.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlprint.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlrun.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A ghdlsynth_maybe.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlvpi.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlxml.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_jit.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A default_paths.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/errorout.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/files_map.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/flags.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/libraries.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/name_table.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/simple_io.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/std_names.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A version.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-configuration.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-scanner.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-std_package.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/options.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/types.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/bug.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/errorout-console.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_tables.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/tables.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-errors.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-formatters.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-tokens.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-xrefs.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_interning.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_maps.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/foreigns.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlcomp.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces-jit.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-dynload.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-files.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-images.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-lib.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-main.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-modules.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-names.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-options.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-processes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-signals.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-types.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-values.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grtlink.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/hash.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/interning.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_nodes.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_be.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_decls.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/translation.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlsynth.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/binary_file.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/binary_file-format.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/binary_file-memory.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-abi.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-debug.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-dwarf.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-flags.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_mcode.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_mcode-jit.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/symbolizer.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/str_table.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/logging.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-types.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-evaluation.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-errors.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nfas.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-priorities.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-types.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-post_sems.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-dump_tree.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-back_end.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-lists.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-flists.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-build.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nfas-utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-rewrites.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/utils_io.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A grt-backtraces-impl.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-hooks.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors_exec.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio-vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-c.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-table.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-change_generics.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-shadow_ieee.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stats.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_rti.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-psl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcd.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcdz.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vital_annotate.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vpi.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-waves.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_addr.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-strings.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-file.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-callbacks.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_signals.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-threads.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stack2.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_ident.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap1.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap12.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap2.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap3.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap4.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap7.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-disp_dot.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-dump.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-errors.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-context.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-flags.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synthesis.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-annotations.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/disassemble.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/hex_images.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/memsegs.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/binary_file-elf.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-x86.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-x86-abi.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/dwarf.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-binary.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-consts.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-decls.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-types.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-exprs.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-hash.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_names.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/lists.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/flists.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-cse.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-disp_nfas.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-optimize.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-qm.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings_io.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi_utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst_api.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_types.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-design.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-zlib.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-sdf.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avls.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-ghw.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-unithread.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap5.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap6.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap9.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap8.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap14.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-gates.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-iterators.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-locations.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/types_utils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-folds.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-expr.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-builders.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-environment.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-objtypes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-values.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-environment-debug.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-insts.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-values-debug.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/disa_x86.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/memsegs_mmap.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/elf32.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/elf64.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A elf_arch.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/elf_common.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-disps.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-x86-emits.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-x86-insns.adb i686-linux-gnu-gcc-10 -c -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A ortho_code-x86-flags.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-opts.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_types.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-algos.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-subsets.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/mutils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-aggr.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-debugger.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-decls.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-errors.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-heap.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-oper.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-stmts.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-source.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-concats.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-gates_ports.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-inference.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/areapools.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-cleanup.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-expands.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-memories.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/elf_arch32.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/mcode/ortho_code-x86-flags_linux.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-static_oper.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-static_proc.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-internings.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-butils.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee.ads i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb i686-linux-gnu-gcc-10 -c -I./ -gnat12 -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/mcode -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -gnatw.A -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb i686-linux-gnu-gnatbind-10 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/mcode -aI../../src/synth -E -x ghdl_jit.ali i686-linux-gnu-gnatlink-10 ghdl_jit.ali -o ghdl_mcode -g memsegs_c.o chkstk.o jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o -Wl,-z,relro -Wl,-z,now -ldl -lm -Wl,--version-script=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/grt.ver -Wl,--export-dynamic make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/mcode" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode GHDL_FLAGS="" VHDL_COPY_OBJS=no vhdl.libs.all make[3]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/mcode/std/v87/std-obj87.cf cd lib/ghdl/mcode/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/mcode/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/mcode/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/mcode/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/mcode/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/mcode/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/mcode/src/synopsys/std_logic_arith.vhdl lib/ghdl/mcode/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/mcode/src/synopsys/std_logic_signed.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc.vhdl lib/ghdl/mcode/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/mcode/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/mcode/ieee/v87/ieee-obj87.cf cd lib/ghdl/mcode/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/mcode/std/v93/std-obj93.cf cd lib/ghdl/mcode/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/mcode/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/mcode/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/mcode/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/mcode/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/mcode/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/mcode/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/mcode/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/mcode/ieee/v93/ieee-obj93.cf cd lib/ghdl/mcode/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/mcode/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/mcode/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/mcode/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/mcode/src/std/env-body.vhdl rm -f -f lib/ghdl/mcode/std/v08/std-obj08.cf cd lib/ghdl/mcode/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/mcode/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/mcode/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/mcode/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/mcode/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/mcode/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/mcode/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/mcode/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/mcode/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/mcode/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/mcode/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/mcode/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/mcode/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/mcode/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/mcode/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/mcode/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/mcode/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/mcode/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/mcode/ieee/v08/ieee-obj08.cf cd lib/ghdl/mcode/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode -a --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/ghdl_mcode:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/lib/ghdl/mcode/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/mcode/src/ieee2008/LICENSE make[3]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' gnatgcc -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode/../../src/grt/vpi_thunk.c -fPIC -O -Wall gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/mcode' ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ if [ -n "1" ]; then \ /usr/bin/make -C /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm; \ fi make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' GHDL_VER_DESC=${GHDL_VER_DESC:-tarball}; \ GHDL_VER_REF=${GHDL_VER_REF:-unknown}; \ GHDL_VER_HASH=${GHDL_VER_HASH:-unknown}; \ sed \ -e "s#@VER@#1.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe" LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="clang++" \ CFLAGS=" -g" \ GNATMAKE="gnatmake" all make[3]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' clang++ -c `llvm-config --cxxflags` -g -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp gnatmake -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe ortho_code_main -bargs -E \ -largs llvm-cbindings.o --LINK=clang++ \ -Wl,-z,relro -Wl,-z,now `llvm-config --ldflags --libs --system-libs` i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_code_main.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/ortho_front.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_llvm.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/bug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_interning.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_maps.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/dyn_tables.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/errorout.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/errorout-console.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/flags.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/hash.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/interning.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/libraries.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/name_table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/options.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_be.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/translation.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-configuration.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_lib.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-std_package.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_ident.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/simple_io.adb i686-linux-gnu-gcc-10 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe version.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/files_map.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/str_table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/logging.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/std_names.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/tables.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-scanner.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-tokens.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-dump_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-back_end.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-lists.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ortho/llvm6/ortho_nodes.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap1.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap12.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap2.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap3.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap4.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap7.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-helpers2.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_decls.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_specs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-evaluation.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_walk.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_scopes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-flists.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_assocs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_decls.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_expr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_inst.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_names.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_stmts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-xrefs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-nodes_gc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-post_sems.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-hash.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/lists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-parse_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap5.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap6.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap9.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap8.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-chap14.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-build.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nfas.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nfas-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-rewrites.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-canon_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/flists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-sem_types.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-algos.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-subsets.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-math_real.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-priorities.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-elocations_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/translate/trans_analyzes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-cse.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-disp_nfas.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-optimize.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/psl/psl-qm.adb i686-linux-gnu-gnatbind-10 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -E -x ortho_code_main.ali i686-linux-gnu-gnatlink-10 ortho_code_main.ali -o ghdl1-llvm -g llvm-cbindings.o --LINK=clang++ -Wl,-z,relro -Wl,-z,now -L/usr/lib/llvm-11/lib -lLLVM-11 make[3]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' gnatgcc -c -g -fPIC -o grt-cstdio.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gnatmake -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe \ ghdl_llvm -bargs -E \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdl_llvm.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdldrv.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdllocal.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlmain.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlprint.adb i686-linux-gnu-gcc-10 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe ghdlsynth_maybe.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlvpi.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlxml.adb i686-linux-gnu-gcc-10 -c -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe default_paths.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-formatters.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlsynth.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/utils_io.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/ghdldrv/ghdlcomp.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-disp_dot.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-dump.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-context.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-flags.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synthesis.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/vhdl/vhdl-annotations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-c.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-gates.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-iterators.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-locations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/types_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-folds.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-expr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-builders.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-environment.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-objtypes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-values.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-environment-debug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-insts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-values-debug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/mutils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-aggr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-debugger.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-decls.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-heap.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-oper.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-stmts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-source.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-concats.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-gates_ports.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-inference.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/areapools.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-cleanup.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-expands.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-memories.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-static_oper.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-static_proc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-internings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/netlists-butils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee-numeric_std.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src -I../../src/vhdl -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -gnatwa -gnatwC -gnatf -g -gnata -gnatwe -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/synth/synth-ieee-std_logic_1164.adb i686-linux-gnu-gnatbind-10 -aI../../src -aI../../src/vhdl -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/ghdldrv -E -x ghdl_llvm.ali i686-linux-gnu-gnatlink-10 ghdl_llvm.ali -g -Wl,-z,relro -Wl,-z,now grt-cstdio.o gnatgcc -c -g -fPIC -o jumps.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/config/jumps.c gnatgcc -c -g -fPIC -o times.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/config/times.c gnatgcc -c -g -fPIC -o grt-cgnatrts.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c gnatgcc -c -g -fPIC -o grt-cvpi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c gnatgcc -c -g -fPIC -o grt-cdynload.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c gnatgcc -c -g -fPIC -o fstapi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst gnatgcc -c -g -fPIC -o lz4.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/lz4.c gnatgcc -c -g -fPIC -o fastlz.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -cargs -g -fPIC i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/ghdl_main.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-main.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-options.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_binding.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-types.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio-vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-hooks.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-change_generics.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-files.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-images.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-lib.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-modules.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-names.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-processes.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-shadow_ieee.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-signals.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stats.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-values.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-strings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-file.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-c.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-callbacks.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_addr.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors_exec.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-table.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_rti.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-psl.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcd.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcdz.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vital_annotate.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vpi.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-waves.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_signals.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-threads.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stack2.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings_io.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/grt-backtraces-impl.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst_api.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_types.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-design.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-zlib.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-sdf.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/version.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avls.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-ghw.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-unithread.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces-jit.adb sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n i686-linux-gnu-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb i686-linux-gnu-gcc-10 -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt i686-linux-gnu-gcc-10 -c -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/ -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/main.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/libgrt.a ar rcv lib/ghdl/llvm/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/grt.lst echo "# link options for executables" > lib/ghdl/llvm/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/llvm/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/grt-shared.lst; done cp /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/std/v87/std-obj87.cf cd lib/ghdl/llvm/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v87/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/llvm/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/std/v93/std-obj93.cf cd lib/ghdl/llvm/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v93/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/std/v08/std-obj08.cf cd lib/ghdl/llvm/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:457:35:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:488:40:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:844:14:warning: declaration of "left" hides enumeration literal left [-Whide] ../../src/std/v08/textio-body.vhdl:846:26:warning: declaration of "right" hides enumeration literal right [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/llvm/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "real_vector" hides type "real_vector" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/src/ieee2008/LICENSE cd lib/ghdl/llvm/std/v87; /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=87 /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v87/' not found cd lib/ghdl/llvm/std/v93; /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=93 /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v93/' not found cd lib/ghdl/llvm/std/v08; /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl1-llvm --std=08 /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/ghdl_llvm:warning: ieee library directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/lib/ghdl/llvm/vhdl/ieee/v08/' not found make[3]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' gnatgcc -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -O -Wall gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/llvm' ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ if [ -n "1" ]; then \ /usr/bin/make -j15 -C /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild; \ /usr/bin/make -C /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc lib/ghdl/gcc/libgrt.a all.vpi; \ /usr/bin/make -C /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc ghdllib \ GHDL_GCC_BIN=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1"; \ fi make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild' make[3]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild' mkdir -p -- ./fixincludes mkdir -p -- ./libiberty mkdir -p -- ./intl mkdir -p -- ./libbacktrace mkdir -p -- build-x86_64-pc-linux-gnu/libiberty mkdir -p -- build-x86_64-pc-linux-gnu/libcpp mkdir -p -- build-x86_64-pc-linux-gnu/fixincludes mkdir -p -- ./libdecnumber Configuring in ./libiberty Configuring in build-x86_64-pc-linux-gnu/libiberty Configuring in ./fixincludes Configuring in ./intl Configuring in build-x86_64-pc-linux-gnu/fixincludes Configuring in build-x86_64-pc-linux-gnu/libcpp Configuring in ./libbacktrace Configuring in ./libdecnumber configure: creating cache ./config.cache configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... configure: creating cache ./config.cache no checking for makeinfo... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 configure: WARNING: *** Makeinfo is missing. Info documentation will not be built. checking for perl... checking for x86_64-pc-linux-gnu-gcc... perl gnatgcc configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... configure: creating cache ./config.cache no checking for makeinfo... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 configure: WARNING: *** Makeinfo is missing. Info documentation will not be built. checking for perl... perl checking build system type... checking whether /usr/bin/make sets $(MAKE)... checking build system type... checking build system type... checking build system type... configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking host system type... yes checking for x86_64-pc-linux-gnu-gcc... gnatgcc x86_64-pc-linux-gnu checking host system type... checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-ar... ar checking for x86_64-pc-linux-gnu-ranlib... ranlib checking whether to install libiberty headers and static library... x86_64-pc-linux-gnu checking target system type... no configure: target_header_dir = checking for x86_64-pc-linux-gnu-gcc... gnatgcc x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-gcc... x86_64-pc-linux-gnu gnatgcc checking for x86_64-pc-linux-gnu-ar... checking whether the C compiler works... ar checking for x86_64-pc-linux-gnu-ranlib... ranlib checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for x86_64-pc-linux-gnu-gcc... gnatgcc x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-gcc... gnatgcc x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-gcc... gnatgcc x86_64-pc-linux-gnu checking whether /usr/bin/make sets $(MAKE)... checking whether the C compiler works... yes checking for a BSD-compatible install... /usr/bin/install -c checking for x86_64-pc-linux-gnu-gcc... gnatgcc checking whether the C compiler works... checking whether the C compiler works... checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking whether the C compiler works... checking for suffix of executables... checking whether we are cross compiling... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... checking whether we are cross compiling... checking whether we are cross compiling... yes checking for C compiler default output file name... a.out checking whether we are cross compiling... checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... no checking for suffix of object files... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... checking whether we are cross compiling... no checking whether we are cross compiling... no checking for suffix of object files... checking for suffix of object files... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... o checking whether we are using the GNU C compiler... no o checking whether we are using the GNU C compiler... checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... no checking for suffix of object files... no yes checking whether gnatgcc accepts -g... yes checking whether gnatgcc accepts -g... checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... yes checking for gnatgcc option to accept ISO C89... yes checking for gnatgcc option to accept ISO C89... o checking whether we are using the GNU C compiler... yes checking for gnatgcc option to accept ISO C89... yes o checking whether gnatgcc accepts -g... checking whether we are using the GNU C compiler... none needed checking how to run the C preprocessor... none needed checking for x86_64-pc-linux-gnu-ranlib... ranlib checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... yes checking whether gnatgcc accepts -g... autoheader yes checking for gnatgcc option to accept ISO C89... yes checking whether gnatgcc accepts -g... checking whether gnatgcc supports -W... gnatgcc -E none needed checking how to run the C preprocessor... none needed checking whether gnatgcc understands -c and -o together... none needed checking how to run the C preprocessor... yes checking for gnatgcc option to accept ISO C89... yes checking for gnatgcc option to accept ISO C89... yes checking whether gnatgcc supports -Wall... none needed checking for grep that handles long lines and -e... gnatgcc -E gnatgcc -E /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes yes checking how to run the C preprocessor... checking whether gnatgcc supports -Wwrite-strings... none needed checking how to run the C preprocessor... none needed checking how to run the C preprocessor... checking whether we are using the GNU C++ compiler... checking for grep that handles long lines and -e... /bin/grep checking for egrep... checking for grep that handles long lines and -e... /bin/grep -E checking for ANSI C header files... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes gnatgcc -E checking whether gnatgcc supports -Wstrict-prototypes... gnatgcc -E gnatgcc -E yes checking whether g++ accepts -g... checking for grep that handles long lines and -e... yes /bin/grep checking for egrep... yes checking for x86_64-pc-linux-gnu-ranlib... ranlib checking how to run the C preprocessor... checking whether gnatgcc supports -Wmissing-prototypes... /bin/grep -E checking for ANSI C header files... checking for grep that handles long lines and -e... checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... /bin/grep -E checking for ANSI C header files... yes checking whether gnatgcc supports -Wold-style-definition... gnatgcc -E yes yes checking for grep that handles long lines and -e... checking whether gnatgcc supports -Wmissing-format-attribute... checking for sys/types.h... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes yes checking for sys/types.h... checking whether gnatgcc supports -Wcast-qual... yes yes checking for sys/types.h... checking for sys/stat.h... yes checking whether gnatgcc supports -pedantic -Wlong-long... yes yes checking for sys/stat.h... yes yes yes yes checking for sys/stat.h... checking for sys/types.h... yes checking for sys/types.h... checking for sys/types.h... checking whether gnatgcc supports -fno-lto... checking for stdlib.h... yes yes checking how to run the C preprocessor... checking for stdlib.h... yes yes yes yes yes checking for sys/stat.h... checking for stdlib.h... checking for sys/stat.h... checking for sys/stat.h... checking for string.h... yes yes checking for sys/types.h... gnatgcc -E checking for string.h... yes yes yes yes yes checking for stdlib.h... checking for stdlib.h... checking for stdlib.h... checking for string.h... checking for memory.h... yes checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... checking for sys/stat.h... yes yes yes yes checking for memory.h... yes checking for string.h... checking for string.h... yes checking for string.h... checking for memory.h... checking for strings.h... yes checking for stdlib.h... yes yes yes yes checking for strings.h... yes checking for memory.h... yes checking for memory.h... checking for memory.h... checking for strings.h... yes checking for inttypes.h... checking for string.h... yes yes yes yes checking for strings.h... checking for inttypes.h... checking for strings.h... yes checking for inttypes.h... yes yes checking for stdint.h... checking for strings.h... checking for memory.h... yes yes yes yes yes checking for sys/types.h... checking for inttypes.h... checking for inttypes.h... checking for stdint.h... checking for stdint.h... yes yes yes checking for unistd.h... checking for inttypes.h... checking for strings.h... yes yes yes yes checking for sys/stat.h... yes checking for stdint.h... checking for stdint.h... checking for unistd.h... checking for unistd.h... yes yes yes checking minix/config.h usability... checking for inttypes.h... checking for stdint.h... yes yes checking for stdlib.h... yes yes yes checking for unistd.h... checking for unistd.h... checking minix/config.h usability... checking minix/config.h usability... yes yes no checking minix/config.h presence... checking for stdint.h... checking for unistd.h... yes checking for string.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes yes no checking minix/config.h presence... checking minix/config.h usability... no checking minix/config.h presence... checking minix/config.h usability... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for unistd.h... checking minix/config.h usability... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for memory.h... yes checking whether /usr/bin/make sets $(MAKE)... no checking minix/config.h presence... no checking minix/config.h presence... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for msgfmt... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for a sed that does not truncate output... yes /usr/bin/msgfmt checking for gmsgfmt... no no checking for minix/config.h... no /usr/bin/msgfmt checking whether it is safe to define __EXTENSIONS__... checking minix/config.h presence... /bin/sed yes checking minix/config.h usability... checking for xgettext... yes checking for a sed that does not truncate output... checking whether gnatgcc supports -W... /bin/sed checking for strings.h... /usr/bin/xgettext checking whether gnatgcc supports -W... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for msgmerge... yes /usr/bin/msgmerge checking whether gnatgcc supports -Wall... yes yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking whether gnatgcc supports -Wall... no checking minix/config.h presence... yes yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes yes checking for inttypes.h... checking whether gnatgcc supports -Wwrite-strings... checking build system type... checking for a thread-safe mkdir -p... no checking for minix/config.h... yes no checking whether it is safe to define __EXTENSIONS__... checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... /bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... yes x86_64-pc-linux-gnu checking host system type... checking whether gnatgcc supports -Wwrite-strings... yes x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-ranlib... ranlib checking for library containing strerror... checking whether /usr/bin/make supports nested variables... yes yes checking whether gnatgcc supports -Wstrict-prototypes... yes checking whether to enable maintainer-specific portions of Makefiles... no checking for x86_64-pc-linux-gnu-gcc... (cached) gnatgcc 64 yes checking whether gnatgcc supports -Wstrict-prototypes... checking for stdint.h... checking whether gnatgcc supports -W... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes 64 checking whether gnatgcc supports -Wmissing-prototypes... checking whether we are using the GNU C compiler... (cached) yes checking whether gnatgcc accepts -g... (cached) yes checking for gnatgcc option to accept ISO C89... (cached) none needed checking whether gnatgcc understands -c and -o together... (cached) yes checking for x86_64-pc-linux-gnu-ranlib... ranlib checking for gawk... (cached) gawk checking for dwz... dwz none required checking for an ANSI C-conforming const... yes checking how to print strings... checking whether gnatgcc supports -W... printf checking for a sed that does not truncate output... yes checking whether gnatgcc supports -Wall... /bin/sed checking for fgrep... checking whether gnatgcc supports -Wmissing-prototypes... yes /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... checking for unistd.h... yes checking for BSD- or MS-compatible name lister (nm)... yes nm checking the name lister (nm) interface... checking whether gnatgcc supports -Wold-style-definition... yes checking for inline... yes yes checking whether gnatgcc supports -Wall... checking whether gnatgcc supports -Wwrite-strings... yes checking whether gnatgcc supports -Wold-style-definition... 64 inline checking for off_t... checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... checking whether gnatgcc supports -W... yes 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes yes yes checking whether gnatgcc supports -Wmissing-format-attribute... yes checking for ld option to reload object files... -r checking for x86_64-pc-linux-gnu-objdump... objdump checking how to recognize dependent libraries... checking whether gnatgcc supports -Wwrite-strings... checking whether gnatgcc supports -Wc++-compat... pass_all checking for x86_64-pc-linux-gnu-ar... ar checking for x86_64-pc-linux-gnu-strip... no checking for strip... strip checking for x86_64-pc-linux-gnu-ranlib... (cached) ranlib checking command to parse nm output from gnatgcc object... checking ctype.h usability... yes yes checking whether gnatgcc supports -Wmissing-format-attribute... checking whether gnatgcc supports -Wall... yes yes yes checking whether gnatgcc supports -Woverlength-strings... checking whether gnatgcc supports -Wstrict-prototypes... checking whether gnatgcc supports -Wc++-compat... yes yes checking whether gnatgcc supports -Woverlength-strings... yes checking ctype.h presence... checking whether gnatgcc supports -Wnarrowing... yes checking whether gnatgcc supports -pedantic -Wlong-long... yes checking whether gnatgcc supports -Wstrict-prototypes... yes yes checking for ctype.h... yes checking whether gnatgcc supports -Wshadow=local... yes checking whether gnatgcc supports -pedantic -Wlong-long... yes checking stddef.h usability... checking whether gnatgcc supports -Wwrite-strings... yes yes checking for size_t... checking for ANSI C header files... (cached) yes checking stddef.h usability... yes yes ok checking whether gnatgcc supports -pedantic ... checking whether gnatgcc supports -Wshadow=local... yes checking for ANSI C header files... (cached) yes yes checking stddef.h usability... checking whether gnatgcc supports -Wmissing-format-attribute... yes checking stddef.h presence... checking for dlfcn.h... yes checking whether gnatgcc and cc understand -c and -o together... yes checking whether gnatgcc supports -pedantic ... yes checking for stddef.h... yes yes yes checking stddef.h presence... checking whether gnatgcc supports -Wstrict-prototypes... checking for string.h... (cached) yes yes checking for stddef.h... yes yes checking whether gnatgcc and cc understand -c and -o together... checking stdio.h usability... yes checking stddef.h presence... checking for stdlib.h... (cached) yes yes checking for objdir... yes checking for stddef.h... yes checking for strings.h... (cached) yes .libs yes checking for stdlib.h... (cached) yes checking whether gnatgcc supports -Wmissing-prototypes... checking for unistd.h... (cached) yes yes checking for working alloca.h... checking for strings.h... (cached) checking fcntl.h usability... yes yes checking stdio.h presence... checking for unistd.h... (cached) yes yes checking whether gnatgcc supports -Wold-style-definition... checking fcntl.h usability... yes checking for stdio.h... yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes yes checking for alloca... checking for an ANSI C-conforming const... yes checking fcntl.h presence... yes checking if gnatgcc supports -fno-rtti -fno-exceptions... checking whether gnatgcc supports -Wc++-compat... yes checking for fcntl.h... yes yes checking fcntl.h presence... yes checking for an ANSI C-conforming const... yes checking for inline... checking sys/file.h usability... yes checking for fcntl.h... yes yes checking whether gnatgcc supports -pedantic -Wlong-long... no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking for uintptr_t... inline yes checking whether byte ordering is bigendian... checking sys/file.h usability... checking for stdlib.h... (cached) yes yes checking for inline... checking for unistd.h... (cached) yes yes checking whether gnatgcc supports -fno-exceptions... yes checking if gnatgcc static flag -static works... checking for sys/param.h... inline checking whether byte ordering is bigendian... yes checking sys/file.h presence... yes yes checking sys/file.h presence... yes checking for sys/file.h... yes checking whether gnatgcc supports -fno-rtti... yes checking for int_least32_t... checking for sys/stat.h... (cached) yes yes checking for sys/file.h... yes checking for clearerr_unlocked... checking for sys/stat.h... (cached) yes yes checking for getpagesize... checking for clearerr_unlocked... yes no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... checking dependency style of g++... yes checking for int_fast32_t... no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... yes yes checking if gnatgcc supports -c -o file.o... yes checking for feof_unlocked... yes checking for working mmap... checking for feof_unlocked... gcc3 checking whether time.h and sys/time.h may both be included... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld -m elf_i386) supports shared libraries... yes checking for uint64_t... yes checking whether -lc should be explicitly linked in... yes checking for ferror_unlocked... yes yes checking whether string.h and strings.h may both be included... checking for ferror_unlocked... no checking dynamic linker characteristics... no yes yes checking what to include in gstdint.h... stdint.h (already complete) checking for an ANSI C-conforming const... checking locale.h usability... checking for sys/file.h... yes no yes checking whether we are using the GNU C Library 2.1 or newer... yes checking for fflush_unlocked... yes checking for sys/file.h... checking for fflush_unlocked... yes checking for off_t... checking for sys/param.h... yes checking whether integer division by zero raises SIGFPE... yes yes checking locale.h presence... yes checking for sys/param.h... yes checking for locale.h... yes checking for limits.h... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes yes checking fcntl.h usability... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes yes checking for fgetc_unlocked... checking for limits.h... checking for fgetc_unlocked... checking for stdlib.h... (cached) yes yes checking for inttypes.h... yes checking for malloc.h... checking for stdlib.h... (cached) yes yes checking for malloc.h... yes yes checking size of int... checking fcntl.h presence... checking for string.h... (cached) yes yes checking for stdint.h... yes checking for unistd.h... (cached) yes 64 yes yes checking unwind.h usability... yes checking for fcntl.h... yes checking for string.h... (cached) yes checking for fgets_unlocked... checking for strings.h... (cached) checking for fgets_unlocked... yes checking limits.h usability... checking for unistd.h... (cached) yes checking for sys/time.h... checking for strings.h... yes (cached) yes checking for unsigned long long... yes checking for sys/time.h... checking for time.h... yes checking unwind.h presence... yes yes checking limits.h presence... yes yes yes yes checking for unwind.h... yes 4 checking for _Unwind_Backtrace... checking for time.h... checking size of long... checking for fileno_unlocked... checking for fileno_unlocked... checking for sys/resource.h... yes checking for limits.h... yes yes checking stddef.h usability... yes checking for inttypes.h... yes checking for sys/resource.h... checking for sys/stat.h... (cached) yes checking for sys/mman.h... yes checking for sys/stat.h... (cached) yes yes yes yes checking for -funwind-tables option... yes checking whether the inttypes.h PRIxNN macros are broken... yes checking for sys/mman.h... checking for fcntl.h... checking for fprintf_unlocked... yes checking stddef.h presence... checking for fprintf_unlocked... yes yes checking for stddef.h... yes yes 4 checking for ANSI C header files... (cached) yes checking for fcntl.h... yes checking for -frandom-seed=string option... no checking for ld used by GCC... checking for stdlib.h... (cached) yes ld checking if the linker (ld) is GNU ld... checking for alloca.h... checking build system type... yes checking for shared library run path origin... checking for strings.h... (cached) yes yes x86_64-pc-linux-gnu checking host system type... yes x86_64-pc-linux-gnu checking target system type... checking for string.h... (cached) yes checking for alloca.h... yes checking for sys/pstat.h... x86_64-pc-linux-gnu checking whether gnatgcc supports -W... checking for decimal floating point... bid checking whether byte ordering is bigendian... checking sys/file.h usability... yes no done checking for fputc_unlocked... no checking for sys/pstat.h... no checking argz.h usability... checking for fputc_unlocked... checking for sys/sysmp.h... yes checking whether gnatgcc supports -Wall... no checking for sys/sysmp.h... no checking for sys/sysinfo.h... yes checking sys/file.h presence... yes yes no yes checking whether gnatgcc supports -Wwrite-strings... checking for sys/file.h... yes yes yes checking argz.h presence... checking for sys/sysinfo.h... checking for machine/hal_sysinfo.h... checking for fputs_unlocked... checking for unistd.h... (cached) yes yes yes checking whether byte ordering is bigendian... yes checking for argz.h... yes checking for fputs_unlocked... checking for machine/hal_sysinfo.h... no yes checking limits.h usability... no checking whether gnatgcc supports -Wstrict-prototypes... checking for sys/table.h... no checking for sys/table.h... configure: updating cache ./config.cache no checking for sys/sysctl.h... configure: creating ./config.status yes yes checking whether gnatgcc supports -Wmissing-prototypes... no checking for sys/sysctl.h... checking for fread_unlocked... yes checking limits.h presence... no checking for sys/systemcfg.h... yes yes checking for limits.h... yes checking for fread_unlocked... yes no checking whether gnatgcc supports -Wold-style-definition... checking for sys/systemcfg.h... checking locale.h usability... no no checking for an ANSI C-conforming const... checking for stdint.h... (cached) yes no checking for stdio_ext.h... checking for stdint.h... (cached) yes yes yes yes checking for inline... checking for stdio_ext.h... checking whether gnatgcc supports -Wmissing-format-attribute... checking for fwrite_unlocked... yes yes yes checking locale.h presence... yes checking for process.h... inline checking for obstacks... checking for fwrite_unlocked... checking for process.h... yes yes checking for locale.h... yes checking whether gnatgcc supports -Wcast-qual... no checking nl_types.h usability... checking for sys/prctl.h... no checking for sys/prctl.h... config.status: creating Makefile yes yes yes checking for _Unwind_GetIPInfo... checking for sys/wait.h that is POSIX.1 compatible... yes checking for getchar_unlocked... config.status: creating config.h checking for sys/wait.h that is POSIX.1 compatible... yes config.status: executing gstdint.h commands checking for getchar_unlocked... yes checking nl_types.h presence... yes checking whether time.h and sys/time.h may both be included... yes checking for off_t... yes checking for nl_types.h... yes yes checking whether time.h and sys/time.h may both be included... checking malloc.h usability... yes checking __sync extensions... yes checking for getc_unlocked... yes checking whether errno must be declared... yes yes checking whether errno must be declared... checking for getc_unlocked... make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decNumber.c source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decContext.c yes checking malloc.h presence... source='../../src/libdecnumber/bid/decimal32.c' object='decimal32.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal32.c source='../../src/libdecnumber/bid/decimal64.c' object='decimal64.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal64.c source='../../src/libdecnumber/bid/decimal128.c' object='decimal128.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/decimal128.c source='../../src/libdecnumber/bid/bid2dpd_dpd2bid.c' object='bid2dpd_dpd2bid.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/bid2dpd_dpd2bid.c source='../../src/libdecnumber/bid/host-ieee32.c' object='host-ieee32.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee32.c yes checking __atomic extensions... source='../../src/libdecnumber/bid/host-ieee64.c' object='host-ieee64.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee64.c no checking size of int... yes yes checking for malloc.h... yes no checking size of int... checking for putchar_unlocked... checking stddef.h usability... source='../../src/libdecnumber/bid/host-ieee128.c' object='host-ieee128.o' libtool=no gnatgcc -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/bid/host-ieee128.c yes checking output filetype... yes yes checking for size_t... checking for putchar_unlocked... yes checking stddef.h presence... elf32 yes checking for stddef.h... yes yes looking for a compliant stdint.h in stdint.h, checking for uintmax_t... checking for stdlib.h... (cached) yes checking for putc_unlocked... 4 checking size of long... 4 checking for string.h... (cached) yes checking size of long... checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes yes checking for feof_unlocked... checking for putc_unlocked... yes checking for ssize_t... yes checking for uintptr_t... yes checking whether abort is declared... 4 checking size of size_t... yes yes checking for fgets_unlocked... 4 checking size of size_t... checking whether abort is declared... yes checking for int_least32_t... yes checking whether asprintf is declared... yes checking for uintptr_t... yes yes checking for getc_unlocked... yes checking for int_fast32_t... checking whether asprintf is declared... yes 4 4 checking for long long... checking for long long... checking whether basename is declared... yes checking for getcwd... yes yes checking for uint64_t... checking whether basename is declared... yes checking for ptrdiff_t... yes checking whether errno is declared... yes yes checking for getegid... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes checking size of long long... checking whether errno is declared... yes checking size of long long... no checking whether vasprintf is declared... yes checking sys/mman.h presence... yes yes checking for uint64_t... no checking whether vasprintf is declared... checking for geteuid... yes checking for sys/mman.h... yes checking for mmap... yes checking whether clearerr_unlocked is declared... 8 checking for a 64-bit type... 8 checking for a 64-bit type... yes checking whether struct tm is in sys/time.h or time.h... yes yes uint64_t yes checking for intptr_t... uint64_t checking for intptr_t... checking for getgid... checking whether clearerr_unlocked is declared... checking link.h usability... yes time.h checking size of int... checking whether feof_unlocked is declared... yes yes checking for getuid... yes checking link.h presence... checking whether feof_unlocked is declared... yes yes checking for link.h... yes checking for dl_iterate_phdr... checking whether ferror_unlocked is declared... yes checking for uintptr_t... yes checking for uintptr_t... 4 checking size of long... yes checking for mempcpy... yes checking whether ferror_unlocked is declared... yes checking sys/ldr.h usability... yes checking whether fflush_unlocked is declared... yes no checking sys/ldr.h presence... checking for munmap... yes 4 yes checking whether fflush_unlocked is declared... checking for clearerr_unlocked... yes checking for ssize_t... no checking for sys/ldr.h... no checking for fcntl... checking whether fgetc_unlocked is declared... yes checking for ssize_t... yes checking for putenv... yes yes yes yes checking for feof_unlocked... checking whether strnlen is declared... checking whether fgetc_unlocked is declared... checking whether fgets_unlocked is declared... yes checking for setenv... yes checking for pid_t... yes checking for pid_t... yes yes yes yes checking for lstat... checking for ferror_unlocked... checking whether fgets_unlocked is declared... checking whether fileno_unlocked is declared... yes checking for setlocale... yes yes yes checking for readlink... yes checking for fflush_unlocked... checking whether fileno_unlocked is declared... checking whether fprintf_unlocked is declared... yes yes yes checking for library containing strerror... checking for library containing strerror... checking for stpcpy... yes yes yes checking for getexecname... no checking for fgetc_unlocked... checking whether fprintf_unlocked is declared... checking whether fputc_unlocked is declared... none required checking for asprintf... none required yes checking for asprintf... checking for strcasecmp... no no checking for clock_gettime... yes checking whether fputc_unlocked is declared... checking for fgets_unlocked... yes yes checking whether fputs_unlocked is declared... checking for atexit... yes checking for atexit... yes yes checking for strdup... checking whether -pthread is supported... yes yes checking whether fputs_unlocked is declared... yes yes checking whether -gdwarf-5 is supported... yes checking for fileno_unlocked... checking for basename... checking whether fread_unlocked is declared... yes checking for basename... yes checking for strtoul... yes yes checking for compress in -lz... yes checking whether fread_unlocked is declared... yes checking for fprintf_unlocked... yes checking for bcmp... checking whether fwrite_unlocked is declared... yes checking for bcmp... yes yes checking whether --compress-debug-sections is supported... yes checking for tsearch... checking whether fwrite_unlocked is declared... no yes yes checking for fputc_unlocked... checking for bcopy... checking whether getchar_unlocked is declared... yes checking for objcopy... objcopy checking for readelf... readelf checking whether objcopy supports debuglink... objcopy: /tmp/ls61440: debuglink section already exists yes checking whether tests can run... yes yes checking for bcopy... checking for __argz_count... yes checking whether getchar_unlocked is declared... yes yes yes yes checking for fputs_unlocked... checking whether getc_unlocked is declared... checking for bsearch... yes yes checking for __argz_stringify... yes checking for bsearch... checking whether getc_unlocked is declared... configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status yes yes checking for bzero... yes checking whether putchar_unlocked is declared... checking for fread_unlocked... yes yes checking for __argz_next... yes checking for bzero... checking whether putchar_unlocked is declared... yes yes yes checking for calloc... checking whether putc_unlocked is declared... checking for fwrite_unlocked... yes checking for __fsetlocking... yes yes checking for calloc... checking whether putc_unlocked is declared... yes yes checking for an ANSI C-conforming const... checking for clock... yes yes checking for getchar_unlocked... checking for iconv... yes checking sys/mman.h usability... yes checking for an ANSI C-conforming const... yes checking for clock... yes yes checking sys/mman.h usability... checking for ffs... yes yes checking sys/mman.h presence... yes checking for getc_unlocked... checking for iconv declaration... yes checking for sys/mman.h... yes checking for mmap... yes yes checking sys/mman.h presence... checking for ffs... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for nl_langinfo and CODESET... yes yes checking for sys/mman.h... yes checking for mmap... checking for getcwd... yes yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... checking for putchar_unlocked... yes yes yes yes checking for LC_MESSAGES... checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether read-only mmap of a plain file works... checking whether to enable maintainer-specific portions of Makefiles... yes no checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... checking for getcwd... yes checking for getpagesize... yes configure: updating cache ./config.cache checking for putc_unlocked... yes checking whether mmap with MAP_ANON(YMOUS) works... configure: creating ./config.status yes checking whether to enable maintainer-specific portions of Makefiles... no yes checking for bison... no checking whether NLS is requested... yes checking whether included gettext is requested... no checking for GNU gettext in libc... yes checking for getpagesize... configure: updating cache ./config.cache yes configure: creating ./config.status checking for gettimeofday... yes yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking whether abort is declared... checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader yes checking for gettimeofday... yes checking for index... configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile yes checking whether asprintf is declared... yes config.status: creating mkheaders.almost checking for index... yes config.status: creating Makefile checking for insque... config.status: creating config.h config.status: creating mkheaders.almost yes config.status: creating config.h checking whether basename is declared... yes yes checking for memchr... checking for insque... config.status: creating Makefile config.status: creating config.intl yes checking whether errno is declared... config.status: creating config.h yes yes checking for memchr... config.status: executing default-1 commands checking for memcmp... no checking whether getopt is declared... mkdir -p -- ./gcc Configuring in ./gcc yes yes make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/intl' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/intl' checking for memcpy... checking for memcmp... yes checking whether vasprintf is declared... config.status: creating Makefile yes yes checking for memmem... checking for memcpy... config.status: creating backtrace-supported.h config.status: creating install-debuginfo-for-buildid.sh yes checking whether clearerr_unlocked is declared... config.status: creating config.h yes checking for memmove... yes config.status: executing libtool commands checking for memmem... config.status: executing gstdint.h commands yes checking whether feof_unlocked is declared... config.status: executing default commands yes yes checking for mempcpy... configure: creating cache ./config.cache checking for memmove... checking build system type... x86_64-pc-linux-gnu checking host system type... yes x86_64-pc-linux-gnu checking target system type... checking whether ferror_unlocked is declared... x86_64-pc-linux-gnu make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace' /usr/bin/make all-am checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default assembler was specified... no make[5]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace' /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o atomic.lo ../../src/libbacktrace/atomic.c checking for x86_64-pc-linux-gnu-gcc... gnatgcc yes true DO=all multi-do # /usr/bin/make /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o dwarf.lo ../../src/libbacktrace/dwarf.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o fileline.lo ../../src/libbacktrace/fileline.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o posix.lo ../../src/libbacktrace/posix.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o print.lo ../../src/libbacktrace/print.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o sort.lo ../../src/libbacktrace/sort.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o state.lo ../../src/libbacktrace/state.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o backtrace.lo ../../src/libbacktrace/backtrace.c yes /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o simple.lo ../../src/libbacktrace/simple.c /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o elf.lo ../../src/libbacktrace/elf.c checking for memset... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o checking for mempcpy... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o yes checking whether the C compiler works... checking whether fflush_unlocked is declared... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 yes libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 rm -f libdecnumber.a checking for mkstemps... /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o mmapio.lo ../../src/libbacktrace/mmapio.c ar cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o bid2dpd_dpd2bid.o host-ieee32.o host-ieee64.o host-ieee128.o ar: `u' modifier ignored since `D' is the default (see `U') libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 yes ranlib libdecnumber.a yes checking for C compiler default output file name... a.out checking for suffix of executables... checking for memset... make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libdecnumber' /bin/sh ./libtool --tag=CC --mode=compile gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o yes libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 checking whether fgetc_unlocked is declared... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o checking whether we are cross compiling... yes checking for putenv... yes libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 checking for mkstemps... yes checking whether fgets_unlocked is declared... no checking for suffix of object files... yes checking for random... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 o checking whether we are using the GNU C compiler... yes checking for putenv... yes checking whether fileno_unlocked is declared... yes checking whether gnatgcc accepts -g... yes checking for rename... yes checking for gnatgcc option to accept ISO C89... yes checking for random... yes checking whether fprintf_unlocked is declared... yes none needed checking for rindex... yes checking whether we are using the GNU C++ compiler... checking for rename... no checking whether fputc_unlocked is declared... yes checking whether g++ accepts -g... yes checking for setenv... yes yes checking for x86_64-pc-linux-gnu-gnatbind... gnatbind checking for x86_64-pc-linux-gnu-gnatmake... gnatmake checking whether compiler driver understands Ada... checking for rindex... yes checking whether fputs_unlocked is declared... yes yes checking how to run the C++ preprocessor... checking for snprintf... yes g++ -E checking for setenv... yes checking whether fread_unlocked is declared... yes checking for grep that handles long lines and -e... /bin/grep checking for sigsetmask... checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for snprintf... yes checking whether fwrite_unlocked is declared... yes checking for stpcpy... yes yes checking for sigsetmask... checking whether getchar_unlocked is declared... yes checking for stpncpy... yes yes yes checking for sys/types.h... checking for stpcpy... checking whether getc_unlocked is declared... yes checking for strcasecmp... yes checking for sys/stat.h... yes yes checking for stpncpy... checking whether putchar_unlocked is declared... yes yes checking for stdlib.h... checking for strchr... yes yes checking whether putc_unlocked is declared... checking for strcasecmp... yes checking for string.h... yes checking for strdup... yes checking for working alloca.h... yes yes checking for memory.h... checking for strchr... yes checking for strncasecmp... yes checking for alloca... yes checking for strings.h... yes checking for strdup... yes yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... checking for strndup... yes checking for inttypes.h... yes checking for strncasecmp... yes checking whether NLS is requested... no checking for uchar... libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 yes checking for strnlen... yes checking for stdint.h... checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes checking for shared library run path origin... yes done checking for strndup... yes checking for iconv... (cached) no yes checking for strrchr... checking for unistd.h... yes yes yes checking for strnlen... configure: updating cache ./config.cache checking minix/config.h usability... configure: creating ./config.status checking for strstr... no checking minix/config.h presence... yes libtool: compile: gnatgcc -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for strtod... checking for strrchr... yes checking how to run the C preprocessor... yes config.status: creating Makefile yes checking for strtol... checking for strstr... config.status: creating config.h gnatgcc -E config.status: executing depdir commands mkdir -p -- .deps yes checking for inline... checking for strtoul... yes checking for strtod... inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for strtoll... yes checking for strtol... 64 checking size of void *... yes checking for strtoull... yes checking for strtoul... 4 checking size of short... yes checking for strverscmp... yes checking for strtoll... yes checking for tmpnam... yes 2 checking size of int... checking for strtoull... yes checking for vasprintf... yes checking for strverscmp... 4 checking size of long... yes checking for vfprintf... yes checking for tmpnam... yes 4 checking for vprintf... checking for long long... yes checking for vasprintf... yes checking for vsnprintf... yes checking for vfprintf... yes checking size of long long... yes checking for vsprintf... yes checking for vprintf... yes checking for waitpid... 8 checking for int8_t... yes checking for vsnprintf... yes checking for setproctitle... yes checking for vsprintf... yes checking for int16_t... no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... yes checking for waitpid... 1 checking for vfork.h... no yes checking for fork... checking for setproctitle... yes checking for int32_t... yes checking for vfork... no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... yes yes checking for int64_t... checking for working fork... 1 checking for vfork.h... no checking for fork... /bin/sh ./libtool --tag=CC --mode=link gnatgcc -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo yes checking for working vfork... (cached) yes checking for _doprnt... yes checking for unsigned long long int... yes libtool: link: ar rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o checking for vfork... libtool: link: ranlib .libs/libbacktrace.a no checking for sys_errlist... libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libbacktrace' yes checking for long long int... yes checking for working fork... yes checking for sys_nerr... yes checking for intmax_t... yes yes checking for working vfork... (cached) yes checking for _doprnt... checking for sys_siglist... yes checking for external symbol _system_configuration... no checking for sys_errlist... yes checking for intptr_t... no checking for __fsetlocking... yes checking for sys_nerr... yes checking for canonicalize_file_name... yes checking for uint8_t... yes checking for sys_siglist... yes checking for dup3... yes checking for uint16_t... yes checking for external symbol _system_configuration... yes checking for getrlimit... no checking for __fsetlocking... yes checking for uint32_t... yes checking for getrusage... yes checking for canonicalize_file_name... yes checking for uint64_t... yes checking for getsysinfo... yes checking for dup3... yes checking for uintmax_t... no checking for gettimeofday... (cached) yes yes checking for on_exit... checking for getrlimit... yes yes checking for uintptr_t... yes checking for pipe2... checking for getrusage... yes yes checking for psignal... checking for getsysinfo... yes checking for int64_t underlying type... yes checking for pstat_getdynamic... long long no checking for gettimeofday... (cached) yes checking for on_exit... checking for std::swap in ... no checking for pstat_getstatic... yes checking for pipe2... yes checking whether g++ is affected by placement new aliasing bug... no no checking whether g++ supports -W... checking for realpath... yes checking for psignal... yes checking whether g++ supports -Wall... yes yes checking for setrlimit... checking whether g++ supports -Wnarrowing... yes checking for pstat_getdynamic... yes checking whether g++ supports -Wwrite-strings... yes checking for sbrk... yes checking whether g++ supports -Wcast-qual... no checking for pstat_getstatic... yes checking whether g++ supports -Werror=format-diag... yes checking for spawnve... yes checking whether gnatgcc supports -Wstrict-prototypes... no checking for realpath... yes checking whether gnatgcc supports -Wmissing-prototypes... no checking for spawnvpe... yes yes checking whether gnatgcc supports -Werror=format-diag... (cached) yes checking whether g++ supports -Wmissing-format-attribute... checking for setrlimit... yes checking whether g++ supports -Woverloaded-virtual... no checking for strerror... yes yes checking for sbrk... checking whether gnatgcc supports -Wold-style-definition... yes checking for strsignal... yes checking whether gnatgcc supports -Wc++-compat... yes checking for spawnve... yes checking whether g++ supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... yes checking for sysconf... yes checking whether gnatgcc supports -fno-exceptions... no checking for spawnvpe... yes checking whether gnatgcc supports -fno-rtti... yes checking for sysctl... yes checking whether gnatgcc supports -fasynchronous-unwind-tables... no checking for strerror... yes checking valgrind.h usability... yes checking for sysmp... no checking valgrind.h presence... yes checking for strsignal... no checking for valgrind.h... no checking for VALGRIND_DISCARD in ... no checking for table... no checking for VALGRIND_DISCARD in ... no yes checking for multiarch configuration... auto configure: WARNING: fixed-point is not supported for this target, ignored checking for sysconf... no checking whether /usr/bin/make sets $(MAKE)... checking for times... yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for x86_64-pc-linux-gnu-ranlib... ranlib checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing makeinfo --split-size=5000000 checking for modern makeinfo... yes no configure: WARNING: *** Makeinfo is missing or too old. *** Info documentation will not be built. checking for recent Pod::Man... checking for sysctl... yes checking for wait3... yes checking for flex... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing flex checking for bison... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/missing bison checking for nm... nm yes checking for ar... ar checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... checking for sysmp... yes checking for wait4... yes checking whether string.h and strings.h may both be included... no yes checking for sys/wait.h that is POSIX.1 compatible... checking for table... yes checking whether basename is declared... yes checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... no checking for times... yes yes checking for limits.h... checking whether ffs is declared... yes checking for stddef.h... yes yes checking for wait3... checking for string.h... (cached) yes yes checking for strings.h... (cached) yes checking whether asprintf is declared... checking for stdlib.h... (cached) yes checking for time.h... yes yes checking for iconv.h... checking for wait4... yes yes checking whether vasprintf is declared... checking for fcntl.h... yes checking for ftw.h... yes yes yes checking whether basename is declared... checking whether snprintf is declared... checking for unistd.h... (cached) yes checking for sys/file.h... yes checking for sys/time.h... yes yes yes checking whether ffs is declared... checking whether vsnprintf is declared... checking for sys/mman.h... yes checking for sys/resource.h... yes yes yes checking for sys/param.h... checking whether asprintf is declared... checking whether calloc is declared... yes checking for sys/times.h... yes yes checking for sys/stat.h... (cached) yes yes checking whether vasprintf is declared... checking for sys/auxv.h... checking whether getenv is declared... yes checking for direct.h... no yes checking for malloc.h... yes checking whether snprintf is declared... checking whether getopt is declared... yes checking for langinfo.h... yes checking for ldfcn.h... yes yes checking whether vsnprintf is declared... checking whether malloc is declared... no checking for locale.h... yes checking for wchar.h... yes yes yes checking for thread.h... checking whether calloc is declared... checking whether realloc is declared... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... yes yes checking whether getenv is declared... checking whether sbrk is declared... yes yes checking whether getopt is declared... checking whether strtol is declared... no checking how to run the C++ preprocessor... g++ -E yes yes checking whether malloc is declared... checking whether strtoul is declared... checking for unordered_map... yes yes yes checking for tr1/unordered_map... checking whether realloc is declared... checking whether strtoll is declared... yes checking for ext/hash_map... yes yes checking whether sbrk is declared... checking whether strtoull is declared... yes yes yes checking dependency style of g++... checking whether strverscmp is declared... checking whether strtol is declared... yes yes gcc3 checking for collect2 libraries... checking whether strnlen is declared... checking whether strtoul is declared... yes yes checking whether canonicalize_file_name must be declared... checking whether strtoll is declared... no checking for stdlib.h... (cached) yes yes checking for unistd.h... (cached) yes checking whether strtoull is declared... checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... yes checking whether strverscmp is declared... yes checking whether strnlen is declared... yes none required checking for library containing exc_resume... checking for working strncmp... yes checking whether canonicalize_file_name must be declared... yes configure: updating cache ./config.cache no checking for stdlib.h... (cached) yes configure: creating ./config.status no checking for library containing kstat_open... checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... no checking for library containing ldexp... config.status: creating Makefile yes checking for working strncmp... config.status: creating testsuite/Makefile none required checking for library containing dlopen... config.status: creating config.h config.status: executing default commands yes configure: updating cache ./config.cache mkdir -p -- ./libcpp Configuring in ./libcpp configure: creating ./config.status make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty' if [ x"-fpic" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-picdir touch stamp-noasandir echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi -ldl checking for inttypes.h... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi make[5]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty/testsuite' if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty/testsuite' if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi yes checking for zstd.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/choose-temp.c -o choose-temp.o no checking for library containing ZSTD_compress... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/concat.c -o concat.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi config.status: creating Makefile if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/argv.c -o argv.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cplus-dem.c -o cplus-dem.o config.status: creating testsuite/Makefile if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi no checking for times... configure: creating cache ./config.cache if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi In file included from /usr/include/string.h:495, from ../../src/libiberty/dyn-string.c:38: In function 'strncpy', inlined from 'dyn_string_insert_cstr' at ../../src/libiberty/dyn-string.c:280:3: /usr/include/i386-linux-gnu/bits/string_fortified.h:106:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr': ../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ config.status: creating config.h checking build system type... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/md5.c -o md5.o x86_64-pc-linux-gnu checking host system type... config.status: executing default commands x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filedescriptor.c -o filedescriptor.o yes checking for a BSD-compatible install... /usr/bin/install -c checking for x86_64-pc-linux-gnu-gcc... gnatgcc checking for clock... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filename_cmp.c -o filename_cmp.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir checking whether the C compiler works... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o dwarfnames.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi checking for kill... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sha1.c -o sha1.o In file included from /usr/include/string.h:495, from ../../src/libiberty/dyn-string.c:38: In function 'strncpy', inlined from 'dyn_string_insert_cstr' at ../../src/libiberty/dyn-string.c:280:3: /usr/include/i386-linux-gnu/bits/string_fortified.h:106:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr': ../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi yes if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi checking for C compiler default output file name... a.out checking for suffix of executables... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fibheap.c -o fibheap.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt.c -o getopt.o yes if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi checking whether we are cross compiling... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi checking for getrlimit... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt1.c -o getopt1.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getruntime.c -o getruntime.o yes no if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi checking for suffix of object files... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/floatformat.c -o floatformat.o checking for setrlimit... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hex.c -o hex.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getpwd.c -o getpwd.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi o checking whether we are using the GNU C compiler... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o fibheap.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi yes checking whether gnatgcc accepts -g... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lbasename.c -o lbasename.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o filedescriptor.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi checking for atoq... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o filename_cmp.o yes checking for gnatgcc option to accept ISO C89... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o floatformat.o In file included from /usr/include/string.h:495, from ../../../src/libiberty/dyn-string.c:38: In function 'strncpy', inlined from 'dyn_string_insert_cstr' at ../../../src/libiberty/dyn-string.c:280:3: /usr/include/i386-linux-gnu/bits/string_fortified.h:106:10: warning: '__builtin_strncpy' output truncated before terminating nul copying as many bytes from a string as its length [-Wstringop-truncation] 106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../../src/libiberty/dyn-string.c: In function 'dyn_string_insert_cstr': ../../../src/libiberty/dyn-string.c:272:16: note: length computed here 272 | int length = strlen (src); | ^~~~~~~~~~~~ if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o fnmatch.o none needed no if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi checking for popen... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/d-demangle.c -o d-demangle.o checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o getpwd.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o getruntime.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o hashtab.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi yes checking whether g++ accepts -g... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o hex.o yes if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi checking for sysconf... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi yes checking for x86_64-pc-linux-gnu-ranlib... ranlib checking how to run the C preprocessor... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o lbasename.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o lrealpath.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hashtab.c -o hashtab.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/obstack.c -o obstack.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi gnatgcc -E if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o make-temp-file.o checking for strsignal... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o obstack.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-temp-file.c -o make-temp-file.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi checking for grep that handles long lines and -e... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/objalloc.c -o objalloc.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o partition.o /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for getrusage... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/partition.c -o partition.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o physmem.o yes if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi checking for nl_langinfo... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pex-common.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pex-one.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/physmem.c -o physmem.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pexecute.c -o pexecute.o yes checking for sys/types.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi checking for gettimeofday... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o rust-demangle.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-one.c -o pex-one.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o simple-object.o checking for sys/stat.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi yes gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi checking for mbstowcs... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi yes checking for stdlib.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o yes yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/vprintf-support.c -o vprintf-support.o checking for wcswidth... checking for string.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-common.c -o pex-common.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o checking for memory.h... yes checking for mmap... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-unix.c -o pex-unix.o checking for strings.h... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o sort.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/rust-demangle.c -o rust-demangle.o yes yes checking for setlocale... checking for inttypes.h... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o spaces.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o splay-tree.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object.c -o simple-object.o yes checking for stdint.h... checking for clearerr_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o stack-limit.o yes checking for unistd.h... yes checking for feof_unlocked... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o yes checking minix/config.h usability... yes checking for ferror_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o strsignal.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sort.c -o sort.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o timeval-utils.o no checking minix/config.h presence... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi no checking for minix/config.h... no gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o checking whether it is safe to define __EXTENSIONS__... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/spaces.c -o spaces.o yes checking for fflush_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o xasprintf.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o xexit.o yes checking for special C compiler options needed for large files... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi no checking for _FILE_OFFSET_BITS value needed for large files... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/stack-limit.c -o stack-limit.o yes checking for fgetc_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi 64 if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o xstrdup.o checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi checking whether gnatgcc supports -W... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o xstrerror.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi checking whether gnatgcc supports -Wall... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o xvasprintf.o checking for fgets_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o setproctitle.o yes checking whether gnatgcc supports -Wnarrowing... echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strsignal.c -o strsignal.o yes make[5]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libiberty/testsuite' if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi checking whether gnatgcc supports -Wwrite-strings... yes gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o regex.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi checking for fileno_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi yes gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xasprintf.c -o xasprintf.o checking whether gnatgcc supports -Wmissing-format-attribute... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xexit.c -o xexit.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o yes yes checking whether gnatgcc supports -Wstrict-prototypes... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi checking for fprintf_unlocked... gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmalloc.c -o xmalloc.o yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmemdup.c -o xmemdup.o checking whether gnatgcc supports -Wmissing-prototypes... if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrdup.c -o xstrdup.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrerror.c -o xstrerror.o yes no checking whether gnatgcc supports -Wold-style-definition... checking for fputc_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xvasprintf.c -o xvasprintf.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o cp-demangle.o if [ x"-fpic" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o md5.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi yes gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o sha1.o checking whether gnatgcc supports -Wc++-compat... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o alloca.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o argv.o gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o choose-temp.o yes checking whether gnatgcc supports -pedantic -Wlong-long... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o concat.o checking for fputs_unlocked... yes checking whether gnatgcc supports -fno-exceptions... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi yes if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o d-demangle.o checking whether gnatgcc supports -fno-rtti... yes checking for fread_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi yes gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/regex.c -o regex.o checking dependency style of g++... yes checking for fwrite_unlocked... if [ x"" != x ]; then \ gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fpic ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi gnatgcc -c -DHAVE_CONFIG_H -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demangle.c -o cp-demangle.o gcc3 checking whether time.h and sys/time.h may both be included... yes yes checking whether string.h and strings.h may both be included... checking for getchar_unlocked... yes checking locale.h usability... yes checking for getc_unlocked... yes checking locale.h presence... yes checking for locale.h... yes checking fcntl.h usability... yes checking for putchar_unlocked... yes checking fcntl.h presence... yes checking for fcntl.h... yes checking limits.h usability... yes checking for putc_unlocked... yes checking limits.h presence... yes checking for limits.h... yes checking stddef.h usability... yes checking for madvise... yes checking stddef.h presence... yes checking for stddef.h... yes yes checking for stdlib.h... (cached) yes checking for mallinfo... checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... yes checking whether mbstowcs works... yes checking sys/file.h presence... yes checking for sys/file.h... yes checking for unistd.h... (cached) yes checking whether byte ordering is bigendian... yes checking for ssize_t... no checking for an ANSI C-conforming const... yes checking for inline... yes checking for caddr_t... inline checking for obstacks... yes checking for off_t... yes checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... yes checking for size_t... yes checking for vfork.h... no checking for fork... yes checking for ssize_t... yes checking for vfork... yes yes checking for uintptr_t... checking for working fork... yes checking for working vfork... (cached) yes checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes checking for shared library run path origin... yes checking for ptrdiff_t... done checking for iconv... yes checking for iconv declaration... yes checking for uint64_t... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); checking for LC_MESSAGES... yes checking whether struct tm is in sys/time.h or time.h... time.h yes checking for nl_langinfo and CODESET... checking size of int... yes checking whether basename is declared... 4 checking size of long... 4 checking for clearerr_unlocked... yes checking whether strstr is declared... yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking whether getenv is declared... yes checking for fflush_unlocked... yes checking for fgetc_unlocked... yes yes checking whether atol is declared... checking for fgets_unlocked... rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o yes ranlib ./libiberty.a checking for fileno_unlocked... if [ x"" != x ]; then \ cd pic; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/fixincludes' gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libcpp' g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../../src/libcpp/directives-only.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.c srcdir="../../../src/fixincludes" /bin/sh ../../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu yes sed -e 's/@gcc_version@/10.2.1/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.c checking for fprintf_unlocked... yes checking whether atoll is declared... g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.c no checking for fputc_unlocked... g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.c echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o ../../../src/libcpp/../move-if-change localedir.new localedir.h g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.c echo timestamp > localedir.hs g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.c ranlib ./libiberty.a g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.c if [ x"-fpic" != x ]; then \ cd pic; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ ar rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ ranlib ./libiberty.a; \ cd ..; \ else true; fi yes yes checking for fputs_unlocked... checking whether asprintf is declared... make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes' gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c yes checking for fread_unlocked... g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.c g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.c yes yes checking whether sbrk is declared... checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking whether abort is declared... gnatgcc -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a yes checking for putchar_unlocked... echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/fixincludes' gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c yes checking for putc_unlocked... g++ -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.c yes checking whether atof is declared... gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c yes gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c checking whether abort is declared... yes gnatgcc -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c checking whether asprintf is declared... srcdir="../../src/fixincludes" /bin/sh ../../src/fixincludes/mkfixinc.sh x86_64-pc-linux-gnu sed -e 's/@gcc_version@/10.2.1/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders yes checking whether getcwd is declared... yes checking whether basename is declared... gnatgcc -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a yes echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/fixincludes' checking whether errno is declared... no yes checking whether getopt is declared... checking whether getwd is declared... yes checking whether vasprintf is declared... yes checking whether clearerr_unlocked is declared... yes checking whether madvise is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether stpcpy is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether strnlen is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether strsignal is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether strverscmp is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether strtol is declared... yes checking whether fwrite_unlocked is declared... rm -f libcpp.a ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o ar: `u' modifier ignored since `D' is the default (see `U') ranlib libcpp.a make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/build-x86_64-pc-linux-gnu/libcpp' yes checking whether getchar_unlocked is declared... yes checking whether strtoul is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether strtoll is declared... yes checking whether putc_unlocked is declared... yes checking for working alloca.h... yes checking for alloca... yes checking whether strtoull is declared... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... yes checking whether NLS is requested... yes checking for catalogs to be installed... yes checking whether setenv is declared... yes checking whether unsetenv is declared... yes checking whether errno is declared... be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja nl pt_BR ru sr sv tr uk vi zh_CN zh_TW checking for uchar... checking for ld used by GCC... ld checking if the linker (ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking whether snprintf is declared... yes checking for iconv declaration... extern size_t iconv (iconv_t cd, char * *inbuf, size_t *inbytesleft, char * *outbuf, size_t *outbytesleft); yes checking whether vsnprintf is declared... configure: updating cache ./config.cache configure: creating ./config.status yes checking whether vasprintf is declared... config.status: creating Makefile config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcpp' g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o directives-only.o -MT directives-only.o -MMD -MP -MF .deps/directives-only.Tpo ../../src/libcpp/directives-only.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.c echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.c ../../src/libcpp/../move-if-change localedir.new localedir.h g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.c g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.c echo timestamp > localedir.hs g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.c yes checking whether malloc is declared... /bin/sh ../../src/libcpp/../mkinstalldirs po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/es.gmo ../../src/libcpp/po/es.po 220 translated messages. g++ -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-10\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.c yes checking whether realloc is declared... yes checking whether calloc is declared... yes checking whether free is declared... yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... rm -f libcpp.a ar cru libcpp.a charset.o directives.o directives-only.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o ar: `u' modifier ignored since `D' is the default (see `U') ranlib libcpp.a make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcpp' yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... yes checking whether getrusage is declared... yes checking whether mallinfo is declared... yes checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... yes checking for struct tms... yes checking for clock_t... yes checking for F_SETLKW... yes checking if mkdir takes one argument... no Using `../../src/gcc/config/i386/i386.c' for machine-specific logic. Using `../../src/gcc/config/i386/i386.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/config/i386/biarch64.h ../../src/gcc/config/i386/i386.h ../../src/gcc/config/i386/unix.h ../../src/gcc/config/i386/att.h ../../src/gcc/config/dbxelf.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/i386/x86-64.h ../../src/gcc/config/i386/gnu-user-common.h ../../src/gcc/config/i386/gnu-user64.h ../../src/gcc/config/linux.h ../../src/gcc/config/linux-android.h ../../src/gcc/config/i386/linux-common.h ../../src/gcc/config/i386/linux64.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking whether NLS is requested... yes checking for catalogs to be installed... be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... nm checking the name lister (nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for ld option to reload object files... -r checking for x86_64-pc-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for x86_64-pc-linux-gnu-ar... (cached) ar checking for x86_64-pc-linux-gnu-strip... no checking for strip... strip checking for x86_64-pc-linux-gnu-ranlib... (cached) ranlib checking command to parse nm output from gnatgcc object... ok checking for dlfcn.h... yes checking for objdir... .libs checking if gnatgcc supports -fno-rtti -fno-exceptions... no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking if gnatgcc static flag -static works... yes checking if gnatgcc supports -c -o file.o... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld -m elf_i386) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... g++ -E checking for ld used by g++... ld -m elf_i386 checking if the linker (ld -m elf_i386) is GNU ld... yes checking whether the g++ linker (ld -m elf_i386) supports shared libraries... yes checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (ld -m elf_i386) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for as... /usr/bin/as checking what assembler to use... /usr/bin/as checking for ld... /usr/bin/ld checking whether we are using gold... no checking gold linker with split stack support as non default... no checking what linker to use... /usr/bin/ld checking for nm... /usr/bin/nm checking what nm to use... /usr/bin/nm checking for objdump... /usr/bin/objdump checking what objdump to use... /usr/bin/objdump checking for readelf... /usr/bin/readelf checking what readelf to use... /usr/bin/readelf checking for otool... no checking what otool to use... not found checking assembler flags... --64 checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... yes checking assembler for .sleb128 and .uleb128... yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section merging support... yes checking assembler for stabs directive... yes checking assembler for COMDAT group support (GNU as)... yes checking assembler for line table is_stmt support... yes checking assembler for line table discriminator support... yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 0 checking assembler for -xbrace_comment... no checking assembler for filds and fists mnemonics... yes checking assembler for fildq and fistpq mnemonics... yes checking assembler for cmov syntax... no checking assembler for ffreep mnemonic... yes checking assembler for .quad directive... yes checking assembler for sahf mnemonic... yes checking assembler for interunit movq mnemonic... yes checking assembler for hle prefixes... yes checking assembler for swap suffix... yes checking assembler for different section symbol subtraction... yes checking assembler for rep and lock prefix... yes checking assembler for ud2 mnemonic... yes checking assembler for R_386_TLS_GD_PLT reloc... no checking assembler for R_386_TLS_LDM_PLT reloc... no checking assembler for R_386_TLS_LDM reloc... yes checking assembler for R_386_GOT32X reloc... yes checking assembler for GOTOFF in data... yes checking assembler for calling ___tls_get_addr via GOT... yes checking assembler for dwarf2 debug_line support... yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gstabs option... yes checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... no checking for target glibc version... 2.31 checking assembler for gnu_unique_object... yes checking assembler for tolerance to line number 0... yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... yes checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 3 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no Links are now set up to build a native compiler for x86_64-pc-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) -ldl checking for -fPIC -shared... yes gcc_driver_version: 10.2.1 checking for -fno-PIE option... yes checking for -no-pie option... yes checking linker -z bndplt option... yes checking linker --push-state/--pop-state options... yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating m2/config-make config.status: creating m2/examples/callingC/Makefile config.status: creating m2/examples/cplusplus/cppcatchm2/Makefile config.status: creating m2/examples/cplusplus/m2catchcpp/Makefile config.status: creating m2/examples/cpp/Makefile config.status: creating m2/examples/gravity/Makefile config.status: creating m2/examples/hello/Makefile config.status: creating m2/examples/iso/socket/Makefile config.status: creating m2/examples/map/Makefile config.status: creating m2/examples/pge/Makefile config.status: creating m2/examples/ncurses/Makefile config.status: creating m2/examples/shared/Makefile config.status: creating m2/examples/swig/exceptions/Makefile config.status: creating m2/examples/swig/full-strlib/Makefile config.status: creating m2/examples/swig/strlib/Makefile config.status: creating m2/examples/swig/strio/Makefile config.status: creating m2/examples/swig/tiny/Makefile config.status: creating m2/examples/swig/dual/Makefile config.status: creating m2/www/Makefile config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- brig/.deps mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- m2/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps mkdir -p -- analyzer/.deps config.status: executing default commands mkdir -p -- ./libcc1 Configuring in ./libcc1 make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc' configure: creating cache ./config.cache checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for x86_64-pc-linux-gnu-gcc... gnatgcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po mkdir -p -- po /bin/sh ../../src/gcc/../mkinstalldirs po mkdir -p -- po /bin/sh ../../src/gcc/../mkinstalldirs po mkdir -p -- po mkdir -p -- po /bin/sh ../../src/gcc/../mkinstalldirs po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/be.gmo ../../src/gcc/po/be.po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/da.gmo ../../src/gcc/po/da.po /usr/bin/msgfmt --statistics -o po/de.gmo ../../src/gcc/po/de.po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/el.gmo ../../src/gcc/po/el.po /usr/bin/msgfmt --statistics -o po/es.gmo ../../src/gcc/po/es.po /usr/bin/msgfmt --statistics -o po/fi.gmo ../../src/gcc/po/fi.po /usr/bin/msgfmt --statistics -o po/fr.gmo ../../src/gcc/po/fr.po /usr/bin/msgfmt --statistics -o po/hr.gmo ../../src/gcc/po/hr.po /usr/bin/msgfmt --statistics -o po/id.gmo ../../src/gcc/po/id.po /usr/bin/msgfmt --statistics -o po/ja.gmo ../../src/gcc/po/ja.po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/nl.gmo ../../src/gcc/po/nl.po /usr/bin/msgfmt --statistics -o po/ru.gmo ../../src/gcc/po/ru.po /usr/bin/msgfmt --statistics -o po/sr.gmo ../../src/gcc/po/sr.po /usr/bin/msgfmt --statistics -o po/sv.gmo ../../src/gcc/po/sv.po checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gnatgcc accepts -g... yes checking for gnatgcc option to accept ISO C89... 62 translated messages, 2394 fuzzy translations, 11544 untranslated messages. /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/tr.gmo ../../src/gcc/po/tr.po none needed checking whether gnatgcc understands -c and -o together... 767 translated messages, 6314 fuzzy translations, 6919 untranslated messages. /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/uk.gmo ../../src/gcc/po/uk.po yes checking how to run the C preprocessor... gnatgcc -E 14000 translated messages. /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/vi.gmo ../../src/gcc/po/vi.po 115 translated messages, 240 fuzzy translations, 13645 untranslated messages. /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_CN.gmo ../../src/gcc/po/zh_CN.po checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... 40 translated messages, 4359 fuzzy translations, 9601 untranslated messages. /bin/sh ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_TW.gmo ../../src/gcc/po/zh_TW.po 2264 translated messages, 5761 fuzzy translations, 5975 untranslated messages. TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh config.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/i386/biarch64.h config/i386/i386.h config/i386/unix.h config/i386/att.h config/dbxelf.h config/elfos.h config/gnu-user.h config/glibc-stdint.h config/i386/x86-64.h config/i386/gnu-user-common.h config/i386/gnu-user64.h config/linux.h config/linux-android.h config/i386/linux-common.h config/i386/linux64.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0" \ /bin/sh ../../src/gcc/mkconfig.sh tm.h 14000 translated messages. TARGET_CPU_DEFAULT="" \ HEADERS="config/i386/i386-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh tm_p.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh bconfig.h 14000 translated messages. g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -DBASEVER="\"10.2.1\"" -DDATESTAMP="\" 20210110\"" \ -DREVISION="\"\"" \ -DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" \ -DBUGURL="\"\"" -o build/version.o ../../src/gcc/version.c LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/brig/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/i386/i386.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/linux-android.opt > tmp-optionlist 2204 translated messages, 9126 fuzzy translations, 2670 untranslated messages. yes checking for sys/types.h... 1752 translated messages, 6964 fuzzy translations, 5284 untranslated messages. 2279 translated messages, 7516 fuzzy translations, 4205 untranslated messages. yes 1307 translated messages, 11 fuzzy translations, 12682 untranslated messages. checking for sys/stat.h... 2863 translated messages, 7383 fuzzy translations, 3754 untranslated messages. /bin/sh ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list echo "#define BUILDING_GCC_MAJOR `echo 10.2.1 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/i386/i386.h config/i386/i386-protos.h defaults.h" DEFINES="" \ /bin/sh ../../src/gcc/mkconfig.sh tm_d.h echo timestamp > s-gtyp-input 10226 translated messages, 2200 fuzzy translations, 1574 untranslated messages. echo "#define BUILDING_GCC_MINOR `echo 10.2.1 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h 2445 translated messages, 7119 fuzzy translations, 4436 untranslated messages. echo "#define BUILDING_GCC_PATCHLEVEL `echo 10.2.1 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h echo timestamp > s-bversion yes checking for stdlib.h... if test no = yes \ || test -n "m64=../lib:x86_64-linux-gnu m32=../lib32:i386-linux-gnu mx32=../libx32:x86_64-linux-gnux32"; then \ /bin/sh ../../src/gcc/genmultilib \ "m64/m32" \ " 64 32" \ "" \ "" \ "" \ "" \ "m64=../lib:x86_64-linux-gnu m32=../lib32:i386-linux-gnu mx32=../libx32:x86_64-linux-gnux32" \ "" \ "" \ "" \ "no" \ > tmp-mlib.h; \ else \ /bin/sh ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "x86_64-linux-gnu" '' no \ > tmp-mlib.h; \ fi lsf="../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h rm -f tmp-all-tree.def 8791 translated messages, 4136 fuzzy translations, 1073 untranslated messages. /bin/sh ../../src/gcc/../move-if-change tmp-specs.h specs.h echo '#include "tree.def"' > tmp-all-tree.def gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/i386/i386-passes.def > pass-instances.def 4282 translated messages, 2280 fuzzy translations, 7438 untranslated messages. rm -f tmp-omp-device-properties.h; \ for kind in kind arch isa; do \ echo 'const char omp_offload_device_'${kind}'[] = ' \ >> tmp-omp-device-properties.h; \ for prop in none ; do \ [ "$prop" = "none" ] && continue; \ tgt=`echo "$prop" | sed 's/=.*$//'`; \ props=`echo "$prop" | sed 's/.*=//'`; \ echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \ sed -n 's/^'${kind}': //p' ${props} \ | sed 's/[[:blank:]]/ /g;s/ */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \ >> tmp-omp-device-properties.h; \ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ /bin/sh ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def echo "g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now" > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options yes checking for string.h... echo timestamp > s-specs echo timestamp > s-omp-device-properties-h echo '#include "c-family/c-common.def"' >> tmp-all-tree.def gawk -f ../../src/gcc/config/i386/i386-builtin-types.awk ../../src/gcc/config/i386/i386-builtin-types.def > tmp-bt.inc ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.c echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.c gcc-nm.c /bin/sh ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def cp ../../src/gcc/gcc-ar.c gcc-ranlib.c yes checking for memory.h... /bin/sh ../../src/gcc/../move-if-change tmp-bt.inc i386-builtin-types.inc echo "package Default_Paths is" >> tmp-dpaths.ads echo timestamp > s-alltree rm -f mm_malloc.h TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/sh ../../src/gcc/mkconfig.sh tconfig.h echo " -- Accept long lines." >> tmp-dpaths.ads cat ../../src/gcc/config/i386/pmm_malloc.h > mm_malloc.h (echo "@set version-GCC 10.2.1"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT echo timestamp > s-i386-bt echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads echo @set srcdir /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/../../src/gcc >> gcc-vers.texiT echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads if [ -n "(GCC) " ]; then \ echo "@set VERSION_PACKAGE (GCC) " >> gcc-vers.texiT; \ fi echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.c echo "@set BUGURL @uref{https://gcc.gnu.org/bugs/}" >> gcc-vers.texiT; \ mv -f gcc-vers.texiT gcc-vers.texi suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/x86_64-pc-linux-gnu/10.2.1/ghdl1\";" >> tmp-dpaths.ads g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/sort.o ../../src/gcc/sort.cc g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genhooks.o ../../src/gcc/genhooks.c echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genchecksum.o ../../src/gcc/genchecksum.c 4256 translated messages, 7741 fuzzy translations, 2003 untranslated messages. echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads echo timestamp > gcc.pod g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmodes.o ../../src/gcc/genmodes.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/errors.o ../../src/gcc/errors.c echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype.o ../../src/gcc/gengtype.c echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.c echo " Inc_Prefix : constant String :=" >> tmp-dpaths.ads No filename or title make[4]: [Makefile:3408: gcc.pod] Error 255 (ignored) echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.c echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo " \".so\";" >> tmp-dpaths.ads yes echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads /bin/sh ../../src/gcc/../move-if-change tmp-mlib.h multilib.h echo "end Default_Paths;" >> tmp-dpaths.ads ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads echo timestamp > s-mlib g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.c checking for strings.h... echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-10.2.1" --date=2021-01-10 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) 2239 translated messages, 9394 fuzzy translations, 2367 untranslated messages. yes checking for inttypes.h... yes checking for stdint.h... pod2man: unable to format gcc.pod make[4]: [Makefile:3386: doc/gcc.1] Error 1 (ignored) ../../src/gcc/genhooks.c: In function 'void emit_documentation(const char*)': ../../src/gcc/genhooks.c:131:17: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 131 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.c:140:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 140 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.c:193:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 193 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.c:199:14: warning: ignoring return value of 'int fscanf(FILE*, const char*, ...)' declared with attribute 'warn_unused_result' [-Wunused-result] 199 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ yes /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' checking for unistd.h... /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:132:1: note: here g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genchecksum \ build/genchecksum.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a yes checking minix/config.h usability... no checking minix/config.h presence... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genhooks \ build/genhooks.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a thread-safe mkdir -p... build/genhooks "Target Hook" \ > tmp-target-hooks-def.h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/mkdir -p build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... /bin/sh ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h /bin/sh ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h /bin/sh ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h /bin/sh ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a yes echo timestamp > s-target-hooks-def-h echo timestamp > s-common-target-hooks-def-h echo timestamp > s-c-target-hooks-def-h echo timestamp > s-d-target-hooks-def-h checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... yes checking dependency style of gnatgcc... build/gengenrtl > tmp-genrtl.h /bin/sh ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-genrtl-h gcc3 checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /bin/sed checking for fgrep... /bin/grep -F checking for ld used by gnatgcc... ld checking if the linker (ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... nm checking the name lister (nm) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for ld option to reload object files... -r checking for x86_64-pc-linux-gnu-objdump... objdump checking how to recognize dependent libraries... pass_all checking for x86_64-pc-linux-gnu-ar... ar checking for x86_64-pc-linux-gnu-strip... no checking for strip... strip checking for x86_64-pc-linux-gnu-ranlib... ranlib checking command to parse nm output from gnatgcc object... ok /bin/sh ../../src/gcc/../move-if-change tmp-optionlist optionlist echo timestamp > s-options checking for dlfcn.h... gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.c gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.c yes checking for objdir... .libs checking if gnatgcc supports -fno-rtti -fno-exceptions... no checking for gnatgcc option to produce PIC... -fPIC -DPIC checking if gnatgcc PIC flag -fPIC -DPIC works... yes checking if gnatgcc static flag -static works... g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmodes \ build/genmodes.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a build/genmodes -h > tmp-modes.h build/genmodes -i > tmp-modes-inline.h build/genmodes -m > tmp-min-modes.c build/genmodes > tmp-modes.c /bin/sh ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h /bin/sh ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h /bin/sh ../../src/gcc/../move-if-change tmp-min-modes.c min-insn-modes.c /bin/sh ../../src/gcc/../move-if-change tmp-modes.c insn-modes.c echo timestamp > s-modes-h echo timestamp > s-modes-inline-h echo timestamp > s-modes-m echo timestamp > s-modes g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/inchash.o ../../src/gcc/inchash.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genenums.o ../../src/gcc/genenums.c yes checking if gnatgcc supports -c -o file.o... yes checking if gnatgcc supports -c -o file.o... (cached) yes checking whether the gnatgcc linker (ld -m elf_i386) supports shared libraries... /bin/sh ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h yes checking whether -lc should be explicitly linked in... g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmddeps.o ../../src/gcc/genmddeps.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-md.o ../../src/gcc/read-md.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconstants.o ../../src/gcc/genconstants.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/min-insn-modes.o min-insn-modes.c no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking dependency style of g++... gcc3 checking how to run the C++ preprocessor... g++ -E checking for ld used by g++... ld -m elf_i386 checking if the linker (ld -m elf_i386) is GNU ld... yes checking whether the g++ linker (ld -m elf_i386) supports shared libraries... yes checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (ld -m elf_i386) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether basename is declared... yes g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a checking whether gnatgcc supports -W... yes checking whether gnatgcc supports -Wall... build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-mddeps yes checking for objdump... /usr/bin/objdump checking what objdump to use... /usr/bin/objdump checking for socket libraries... checking for connect... /bin/sh ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ > tmp-constants.h build/genenums ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ > tmp-enums.c yes checking for gethostbyname... /bin/sh ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h /bin/sh ../../src/gcc/../move-if-change tmp-enums.c insn-enums.c echo timestamp > s-constants echo timestamp > s-enums g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencheck.o ../../src/gcc/gencheck.c yes checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o build/version.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a -ldl checking for -fPIC -shared... build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state yes checking for socketpair... yes checking for select... yes checking for fork... g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencheck \ build/gencheck.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a yes build/gencheck > tmp-check.h /bin/sh ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status /bin/sh ../../src/gcc/../move-if-change tmp-gtype.state gtype.state build/gengtype \ -r gtype.state echo timestamp > s-gtype g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genopinit.o ../../src/gcc/genopinit.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattrtab.o ../../src/gcc/genattrtab.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genautomata.o ../../src/gcc/genautomata.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genemit.o ../../src/gcc/genemit.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genextract.o ../../src/gcc/genextract.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genoutput.o ../../src/gcc/genoutput.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpeep.o ../../src/gcc/genpeep.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genrecog.o ../../src/gcc/genrecog.c config.status: creating Makefile g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpreds.o ../../src/gcc/genpreds.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rtl.o ../../src/gcc/rtl.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-rtl.o ../../src/gcc/read-rtl.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/ggc-none.o ../../src/gcc/ggc-none.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/vec.o ../../src/gcc/vec.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gensupport.o ../../src/gcc/gensupport.c config.status: creating cc1plugin-config.h config.status: executing depfiles commands config.status: executing libtool commands g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/print-rtl.o ../../src/gcc/print-rtl.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/hash-table.o ../../src/gcc/hash-table.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genflags.o ../../src/gcc/genflags.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconditions.o ../../src/gcc/genconditions.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr.o ../../src/gcc/genattr.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr-common.o ../../src/gcc/genattr-common.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencodes.o ../../src/gcc/gencodes.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconfig.o ../../src/gcc/genconfig.c g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.c g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h build/gencfn-macros -o \ > tmp-cfn-operators.pd /bin/sh ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h /bin/sh ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd echo timestamp > s-case-cfn-macros echo timestamp > s-cfn-operators g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmatch.o ../../src/gcc/genmatch.c g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-preds.h build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-constrs.h build/genpreds ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-preds.c build/genconditions ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md > tmp-condmd.c g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a /bin/sh ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h echo timestamp > s-preds-h /bin/sh ../../src/gcc/../move-if-change tmp-preds.c insn-preds.c echo timestamp > s-preds /bin/sh ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h echo timestamp > s-constrs-h /bin/sh ../../src/gcc/../move-if-change tmp-condmd.c build/gencondmd.c echo timestamp > s-conditions g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencondmd.o build/gencondmd.c g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a -lm g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/sh ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-attr-common.h build/gencodes ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-codes.h build/genconfig ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-config.h build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-target-def.h build/genopinit ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.c build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md insn-conditions.md \ -Atmp-attrtab.c -Dtmp-dfatab.c -Ltmp-latencytab.c build/genemit ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-emit.c build/genextract ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-extract.c build/genoutput ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-output.c build/genpeep ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-peep.c build/genrecog ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-recog.c /bin/sh ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h echo timestamp > s-codes build/genautomata ../../src/gcc/common.md ../../src/gcc/config/i386/i386.md \ insn-conditions.md > tmp-automata.c /bin/sh ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-target-def /bin/sh ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h /bin/sh ../../src/gcc/../move-if-change tmp-config.h insn-config.h echo timestamp > s-attr-common echo timestamp > s-config /bin/sh ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h /bin/sh ../../src/gcc/../move-if-change tmp-peep.c insn-peep.c /bin/sh ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h /bin/sh ../../src/gcc/../move-if-change tmp-extract.c insn-extract.c /bin/sh ../../src/gcc/../move-if-change tmp-opinit.c insn-opinit.c echo timestamp > s-peep echo timestamp > s-attr echo timestamp > s-extract echo timestamp > s-opinit /bin/sh ../../src/gcc/../move-if-change tmp-emit.c insn-emit.c echo timestamp > s-emit /bin/sh ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h echo timestamp > s-flags g++ -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gcov-iov.o ../../src/gcc/gcov-iov.c Statistics for recog: Number of decisions: 48522 longest path: 402 (code: 4371) longest backtrack: 32 (code: 4896) Statistics for split_insns: Number of decisions: 4176 longest path: 136 (code: 9) longest backtrack: 22 (code: 626) Statistics for peephole2_insns: Number of decisions: 2052 longest path: 293 (code: 230) longest backtrack: 17 (code: 198) g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie \ build/gcov-iov.o -o build/gcov-iov build/gcov-iov '10.2.1' '' \ > tmp-gcov-iov.h /bin/sh ../../src/gcc/../move-if-change tmp-gcov-iov.h gcov-iov.h echo timestamp > s-iov g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.c Shared 38995 out of 74088 states by creating 9959 new states, saving 29036 /bin/sh ../../src/gcc/../move-if-change tmp-recog.c insn-recog.c echo timestamp > s-recog g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.c /bin/sh ../../src/gcc/../move-if-change tmp-output.c insn-output.c echo timestamp > s-output g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"x86_64-pc-linux-gnu\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-c.o -MT i386-c.o -MMD -MP -MF ./.deps/i386-c.TPo ../../src/gcc/config/i386/i386-c.c g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.c g++ -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -fno-PIE -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -no-pie -o build/genmatch \ build/genmatch.o ../build-x86_64-pc-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-x86_64-pc-linux-gnu/libiberty/libiberty.a g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit.o -MT insn-emit.o -MMD -MP -MF ./.deps/insn-emit.TPo insn-emit.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.c ../../src/gcc/cgraphunit.c: In function 'void process_symver_attribute(symtab_node*)': ../../src/gcc/cgraphunit.c:764:4: warning: unquoted keyword 'weakref' in format [-Wformat-diag] 764 | "weakref cannot be versioned"); | ^~~~~~~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.c g++ -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/c++/10.2.1\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/x86_64-linux-gnu/c++/10.2.1\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/c++/10.2.1/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"10.2.1\"" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.c g++ -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/c++/10.2.1\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/x86_64-linux-gnu/c++/10.2.1\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../include/c++/10.2.1/backward\" -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbxout.o -MT dbxout.o -MMD -MP -MF ./.deps/dbxout.TPo ../../src/gcc/dbxout.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.c ../../src/gcc/dbgcnt.c: In function 'bool dbg_cnt_set_limit_by_index(debug_counter, const char*, unsigned int, unsigned int)': ../../src/gcc/dbgcnt.c:129:13: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 129 | "[%u, %u]\n", name, t2.first, t2.second, t1.first, t1.second); | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.c /bin/sh ../../src/gcc/../move-if-change tmp-attrtab.c insn-attrtab.c /bin/sh ../../src/gcc/../move-if-change tmp-dfatab.c insn-dfatab.c /bin/sh ../../src/gcc/../move-if-change tmp-latencytab.c insn-latencytab.c echo timestamp > s-attrtab g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.c ../../src/gcc/dumpfile.c: In function 'void dump_loc(dump_flags_t, pretty_printer*, location_t)': ../../src/gcc/dumpfile.c:496:27: warning: spurious trailing space in format [-Wformat-diag] 496 | pp_printf (pp, "%s:%d:%d: ", LOCATION_FILE (loc), | ^ ../../src/gcc/dumpfile.c:499:27: warning: spurious trailing space in format [-Wformat-diag] 499 | pp_printf (pp, "%s:%d:%d: ", | ^ ../../src/gcc/dumpfile.c:503:26: warning: spurious trailing space in format [-Wformat-diag] 503 | pp_printf (pp, "%s: ", kind_as_string (dump_kind)); | ^ ../../src/gcc/dumpfile.c: In member function 'void dump_context::begin_scope(const char*, const dump_user_location_t&, const dump_impl_location_t&)': ../../src/gcc/dumpfile.c:1120:20: warning: spurious leading punctuation sequence '===' in format [-Wformat-diag] 1120 | pp_printf (&pp, "=== %s ===\n", name); | ^~~ ../../src/gcc/dumpfile.c:1120:27: warning: unquoted sequence of 3 consecutive punctuation characters '===' in format [-Wformat-diag] 1120 | pp_printf (&pp, "=== %s ===\n", name); | ^~~ ../../src/gcc/dumpfile.c:1120:30: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 1120 | pp_printf (&pp, "=== %s ===\n", name); | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc ../../src/gcc/expmed.c: In function 'rtx_def* emit_store_flag_1(rtx, rtx_code, rtx, rtx, machine_mode, int, int, machine_mode)': ../../src/gcc/expmed.c:5618:19: warning: 'int_mode' may be used uninitialized in this function [-Wmaybe-uninitialized] 5618 | scalar_int_mode int_mode; | ^~~~~~~~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp.o -MT gimple-ssa-evrp.o -MMD -MP -MF ./.deps/gimple-ssa-evrp.TPo ../../src/gcc/gimple-ssa-evrp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-evrp-analyze.o -MT gimple-ssa-evrp-analyze.o -MMD -MP -MF ./.deps/gimple-ssa-evrp-analyze.TPo ../../src/gcc/gimple-ssa-evrp-analyze.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-common.o -MT hsa-common.o -MMD -MP -MF ./.deps/hsa-common.TPo ../../src/gcc/hsa-common.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-gen.o -MT hsa-gen.o -MMD -MP -MF ./.deps/hsa-gen.TPo ../../src/gcc/hsa-gen.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-regalloc.o -MT hsa-regalloc.o -MMD -MP -MF ./.deps/hsa-regalloc.TPo ../../src/gcc/hsa-regalloc.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-brig.o -MT hsa-brig.o -MMD -MP -MF ./.deps/hsa-brig.TPo ../../src/gcc/hsa-brig.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hsa-dump.o -MT hsa-dump.o -MMD -MP -MF ./.deps/hsa-dump.TPo ../../src/gcc/hsa-dump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.c /bin/sh ../../src/gcc/../move-if-change tmp-automata.c insn-automata.c echo timestamp > s-automata g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-hsa.o -MT ipa-hsa.o -MMD -MP -MF ./.deps/ipa-hsa.TPo ../../src/gcc/ipa-hsa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.c g++ -fno-PIE -c -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-grid.o -MT omp-grid.o -MMD -MP -MF ./.deps/omp-grid.TPo ../../src/gcc/omp-grid.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc g++ -fno-PIE -c -DTARGET_NAME=\"x86_64-pc-linux-gnu\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.c g++ -fno-PIE -c -DTARGET_NAME=\"x86_64-pc-linux-gnu\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.c ../../src/gcc/ubsan.c: In function 'tree_node* ubsan_type_descriptor(tree, ubsan_print_style)': ../../src/gcc/ubsan.c:411:33: warning: unterminated quote character ''' in format [-Wformat-diag] 411 | pp_printf (&pretty_name, "'%s%s%s%s%s%s%s", | ^ ../../src/gcc/ubsan.c:430:36: warning: spurious trailing space in format [-Wformat-diag] 430 | pp_printf (&pretty_name, "'%s ", tname); | ^ ../../src/gcc/ubsan.c:430:33: warning: unterminated quote character ''' in format [-Wformat-diag] 430 | pp_printf (&pretty_name, "'%s ", tname); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc ../../src/gcc/tree-diagnostic-path.cc: In member function 'void {anonymous}::path_summary::print(diagnostic_context*, bool) const': ../../src/gcc/tree-diagnostic-path.cc:419:21: warning: spurious leading punctuation sequence '|' in format [-Wformat-diag] 419 | pp_printf (pp, "|"); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o unique-ptr-tests.o -MT unique-ptr-tests.o -MMD -MP -MF ./.deps/unique-ptr-tests.TPo ../../src/gcc/unique-ptr-tests.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o xcoffout.o -MT xcoffout.o -MMD -MP -MF ./.deps/xcoffout.TPo ../../src/gcc/xcoffout.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386.o -MT i386.o -MMD -MP -MF ./.deps/i386.TPo ../../src/gcc/config/i386/i386.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc ../../src/gcc/analyzer/analyzer-logging.cc: In member function 'void ana::logger::incref(const char*)': ../../src/gcc/analyzer/analyzer-logging.cc:79:41: warning: spurious trailing space in format [-Wformat-diag] 79 | log ("%s: reason: %s refcount now %i ", | ^ ../../src/gcc/analyzer/analyzer-logging.cc: In member function 'void ana::logger::enter_scope(const char*, const char*, char**)': ../../src/gcc/analyzer/analyzer-logging.cc:174:30: warning: spurious trailing space in format [-Wformat-diag] 174 | log_partial ("entering: %s: ", scope_name); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc ../../src/gcc/analyzer/call-string.cc: In member function 'void ana::call_string::print(pretty_printer*) const': ../../src/gcc/analyzer/call-string.cc:97:31: warning: unquoted operator '->' in format [-Wformat-diag] 97 | pp_printf (pp, "(SN: %i -> SN: %i in %s)", | ^~ ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::dedupe_winners::add(ana::logger*, const shortest_exploded_paths&, ana::saved_diagnostic*)': ../../src/gcc/analyzer/diagnostic-manager.cc:394:36: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag] 394 | logger->log ("already have this dedupe_key"); | ^~~~~~~~~~ ../../src/gcc/analyzer/diagnostic-manager.cc:404:26: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag] 404 | " taking over this dedupe_key", | ^~~~~~~~~~ ../../src/gcc/analyzer/diagnostic-manager.cc:415:35: warning: bare apostrophe ''' in format [-Wformat-diag] 415 | logger->log ("length %i isn't better than existing length %i;" | ^ ../../src/gcc/analyzer/diagnostic-manager.cc:415:21: note: if avoiding the apostrophe is not feasible, enclose it in a pair of '%<' and '%>' directives instead 415 | logger->log ("length %i isn't better than existing length %i;" | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 416 | " dropping this candidate", | ~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/diagnostic-manager.cc:427:43: warning: unquoted identifier or keyword 'dedupe_key' in format [-Wformat-diag] 427 | logger->log ("first candidate for this dedupe_key"); | ^~~~~~~~~~ ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::dedupe_winners::emit_best(ana::diagnostic_manager*, const ana::exploded_graph&)': ../../src/gcc/analyzer/diagnostic-manager.cc:445:15: warning: spurious leading punctuation sequence '#' in format [-Wformat-diag] 445 | dm->log ("# keys after de-duplication: %i", keys.length ()); | ^ ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::diagnostic_manager::emit_saved_diagnostics(const ana::exploded_graph&)': ../../src/gcc/analyzer/diagnostic-manager.cc:481:9: warning: spurious leading punctuation sequence '#' in format [-Wformat-diag] 481 | log ("# saved diagnostics: %i", m_saved_diagnostics.length ()); | ^ ../../src/gcc/analyzer/diagnostic-manager.cc:487:8: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag] 487 | log ("[%i] sd: %qs at EN: %i, SN: %i", | ^ ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'void ana::diagnostic_manager::add_events_for_eedge(const ana::path_builder&, const ana::exploded_edge&, ana::checker_path*) const': ../../src/gcc/analyzer/diagnostic-manager.cc:829:29: warning: unquoted operator '->' in format [-Wformat-diag] 829 | pp_printf (pp, "EN %i -> EN %i: ", | ^~ ../../src/gcc/analyzer/diagnostic-manager.cc:829:38: warning: spurious trailing space in format [-Wformat-diag] 829 | pp_printf (pp, "EN %i -> EN %i: ", | ^ ../../src/gcc/analyzer/diagnostic-manager.cc: In member function 'bool ana::diagnostic_manager::significant_edge_p(const ana::path_builder&, const ana::exploded_edge&) const': ../../src/gcc/analyzer/diagnostic-manager.cc:963:41: warning: unquoted operator '->' in format [-Wformat-diag] 963 | get_logger ()->log (" edge EN: %i -> EN: %i is insignificant as" | ^~ ../../src/gcc/analyzer/diagnostic-manager.cc:965:14: warning: unquoted operator '->' in format [-Wformat-diag] 965 | " EN: %i -> EN: %i", | ^~ ../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_event::dump(pretty_printer*) const': ../../src/gcc/analyzer/checker-path.cc:113:19: warning: quoted '%s' directive in format [-Wformat-diag] 113 | pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)", | ^~~~~~ ../../src/gcc/analyzer/checker-path.cc:113:18: note: if using '%qs' is not feasible, use '"%-s"' instead 113 | pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)", | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/checker-path.cc:113:37: warning: unquoted identifier or keyword 'm_loc' in format [-Wformat-diag] 113 | pp_printf (pp, "\"%s\" (depth %i, m_loc=%x)", | ^~~~~ ../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_path::dump(pretty_printer*) const': ../../src/gcc/analyzer/checker-path.cc:903:23: warning: quoted '%s' directive in format [-Wformat-diag] 903 | pp_printf (pp, "\"%s\"", event_desc.m_buffer); | ^~~~~~ ../../src/gcc/analyzer/checker-path.cc:903:22: note: if using '%qs' is not feasible, use '"%-s"' instead 903 | pp_printf (pp, "\"%s\"", event_desc.m_buffer); | ^~~~~~~~ ../../src/gcc/analyzer/checker-path.cc: In member function 'void ana::checker_path::maybe_log(ana::logger*, const char*) const': ../../src/gcc/analyzer/checker-path.cc:917:28: warning: spurious trailing space in format [-Wformat-diag] 917 | logger->log_partial ("%s: ", desc); | ^ ../../src/gcc/analyzer/checker-path.cc:923:34: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 923 | logger->log_partial ("%s[%i]: %s ", desc, i, | ^~ ../../src/gcc/analyzer/checker-path.cc:923:39: warning: spurious trailing space in format [-Wformat-diag] 923 | logger->log_partial ("%s[%i]: %s ", desc, i, | ^ ../../src/gcc/analyzer/constraint-manager.cc: In member function 'void ana::constraint_manager::print(pretty_printer*) const': ../../src/gcc/analyzer/constraint-manager.cc:575:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 575 | pp_printf (pp, "}"); | ^ ../../src/gcc/analyzer/constraint-manager.cc: In member function 'void ana::constraint_manager::dump_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/constraint-manager.cc:602:30: warning: spurious trailing space in format [-Wformat-diag] 602 | pp_printf (pp, " %i: ", i); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::impl_sm_context::on_transition(const ana::supernode*, const gimple*, tree, ana::state_machine::state_t, ana::state_machine::state_t, tree)': ../../src/gcc/analyzer/engine.cc:255:50: warning: unquoted operator '->' in format [-Wformat-diag] 255 | logger->log ("%s: state transition of %qE: %s -> %s", | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual const gimple* ana::leak_stmt_finder::find_stmt(const ana::exploded_path&)': ../../src/gcc/analyzer/engine.cc:391:30: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 391 | logger->log ("eedge[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc:391:39: warning: unquoted operator '->' in format [-Wformat-diag] 391 | logger->log ("eedge[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc:418:26: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 418 | logger->log ("eedge[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc:418:35: warning: unquoted operator '->' in format [-Wformat-diag] 418 | logger->log ("eedge[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::impl_region_model_context::on_state_leak(const ana::state_machine&, int, ana::svalue_id, ana::svalue_id, const svalue_id_map&, ana::state_machine::state_t)': ../../src/gcc/analyzer/engine.cc:574:24: warning: unquoted identifier or keyword 'leaked_tree' in format [-Wformat-diag] 574 | logger->log ("best leaked_tree: %qE", leaked_tree); | ^~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::exploded_node::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:859:72: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 859 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^~~ ../../src/gcc/analyzer/engine.cc:859:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 859 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^ ../../src/gcc/analyzer/engine.cc:884:25: warning: spurious trailing space in format [-Wformat-diag] 884 | pp_printf (pp, "%s: ", ext_state.get_name (i)); | ^ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_node::dump_dot_id(pretty_printer*) const': ../../src/gcc/analyzer/engine.cc:916:19: warning: unquoted identifier or keyword 'exploded_node_' in format [-Wformat-diag] 916 | pp_printf (pp, "exploded_node_%i", m_index); | ^~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::exploded_edge::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:1510:8: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 1510 | (" [style=%s, color=%s, weight=%d, constraint=%s," | ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 1511 | " headlabel=\""), | ~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:1510:8: warning: unbalanced punctuation character '[' in format [-Wformat-diag] ../../src/gcc/analyzer/engine.cc:1522:19: warning: unbalanced punctuation character ']' in format [-Wformat-diag] 1522 | pp_printf (pp, "\"];\n"); | ^~~ ../../src/gcc/analyzer/engine.cc:1522:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 1522 | pp_printf (pp, "\"];\n"); | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::stats::log(ana::logger*) const': ../../src/gcc/analyzer/engine.cc:1546:21: warning: unquoted identifier or keyword 'm_num_nodes' in format [-Wformat-diag] 1546 | logger->log ("m_num_nodes[%s]: %i", | ^~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:1546:35: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 1546 | logger->log ("m_num_nodes[%s]: %i", | ^~ ../../src/gcc/analyzer/engine.cc:1549:17: warning: unquoted identifier or keyword 'm_node_reuse_count' in format [-Wformat-diag] 1549 | logger->log ("m_node_reuse_count: %i", m_node_reuse_count); | ^~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:1550:17: warning: unquoted identifier or keyword 'm_node_reuse_after_merge_count' in format [-Wformat-diag] 1550 | logger->log ("m_node_reuse_after_merge_count: %i", | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::process_worklist()': ../../src/gcc/analyzer/engine.cc:2243:51: warning: spurious trailing space in format [-Wformat-diag] 2243 | ("got potential merge EN: %i and EN: %i at ", | ^ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::process_node(ana::exploded_node*)': ../../src/gcc/analyzer/engine.cc:2571:56: warning: spurious trailing space in format [-Wformat-diag] 2571 | ("would create function summary for %qE; state: ", | ^ ../../src/gcc/analyzer/engine.cc:2587:41: warning: unquoted operator '->' in format [-Wformat-diag] 2587 | logger->log ("considering SN: %i -> SN: %i", | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::log_stats() const': ../../src/gcc/analyzer/engine.cc:2742:17: warning: unquoted identifier or keyword 'm_sg' in format [-Wformat-diag] 2742 | logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ()); | ^~~~ ../../src/gcc/analyzer/engine.cc:2742:22: warning: unquoted identifier or keyword 'num_nodes' in format [-Wformat-diag] 2742 | logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ()); | ^~~~~~~~~ ../../src/gcc/analyzer/engine.cc:2742:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag] 2742 | logger->log ("m_sg.num_nodes (): %i", m_sg.num_nodes ()); | ^~~ ../../src/gcc/analyzer/engine.cc:2743:17: warning: unquoted identifier or keyword 'm_nodes' in format [-Wformat-diag] 2743 | logger->log ("m_nodes.length (): %i", m_nodes.length ()); | ^~~~~~~ ../../src/gcc/analyzer/engine.cc:2743:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag] 2743 | logger->log ("m_nodes.length (): %i", m_nodes.length ()); | ^~~ ../../src/gcc/analyzer/engine.cc:2744:17: warning: unquoted identifier or keyword 'm_edges' in format [-Wformat-diag] 2744 | logger->log ("m_edges.length (): %i", m_edges.length ()); | ^~~~~~~ ../../src/gcc/analyzer/engine.cc:2744:32: warning: unquoted sequence of 3 consecutive punctuation characters '():' in format [-Wformat-diag] 2744 | logger->log ("m_edges.length (): %i", m_edges.length ()); | ^~~ ../../src/gcc/analyzer/engine.cc: In member function 'bool ana::exploded_path::feasible_p(ana::logger*, ana::feasibility_problem**) const': ../../src/gcc/analyzer/engine.cc:2863:43: warning: unquoted operator '->' in format [-Wformat-diag] 2863 | logger->log ("considering edge %i: EN:%i -> EN:%i", | ^~ ../../src/gcc/analyzer/engine.cc:2893:35: warning: unquoted operator '->' in format [-Wformat-diag] 2893 | logger->log (" sedge: SN:%i -> SN:%i %s", | ^~ ../../src/gcc/analyzer/engine.cc:2950:45: warning: unquoted operator '->' in format [-Wformat-diag] 2950 | logger->log ("state after edge %i: EN:%i -> EN:%i", | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_path::dump_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/engine.cc:2971:23: warning: unquoted identifier or keyword 'm_edges' in format [-Wformat-diag] 2971 | pp_printf (pp, "m_edges[%i]: EN %i -> EN %i", | ^~~~~~~ ../../src/gcc/analyzer/engine.cc:2971:33: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 2971 | pp_printf (pp, "m_edges[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc:2971:42: warning: unquoted operator '->' in format [-Wformat-diag] 2971 | pp_printf (pp, "m_edges[%i]: EN %i -> EN %i", | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::supernode_cluster::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:3031:30: warning: unquoted identifier or keyword 'cluster_supernode_' in format [-Wformat-diag] 3031 | gv->println ("subgraph \"cluster_supernode_%p\" {", | ^~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:3031:53: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 3031 | gv->println ("subgraph \"cluster_supernode_%p\" {", | ^ ../../src/gcc/analyzer/engine.cc:3031:53: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/engine.cc:3034:24: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3034 | gv->println ("style=\"dashed\";"); | ^~~ ../../src/gcc/analyzer/engine.cc:3034:33: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag] 3034 | gv->println ("style=\"dashed\";"); | ^~~ ../../src/gcc/analyzer/engine.cc:3035:24: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3035 | gv->println ("label=\"SN: %i (bb: %i)\";", | ^~~ ../../src/gcc/analyzer/engine.cc:3035:41: warning: spurious trailing punctuation sequence ')";' in format [-Wformat-diag] 3035 | gv->println ("label=\"SN: %i (bb: %i)\";", | ^~~~ ../../src/gcc/analyzer/engine.cc:3045:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 3045 | gv->println ("}"); | ^ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::function_call_string_cluster::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:3079:30: warning: unquoted identifier or keyword 'cluster_function_' in format [-Wformat-diag] 3079 | gv->println ("subgraph \"cluster_function_%p\" {", (const void *)this); | ^~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:3079:52: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 3079 | gv->println ("subgraph \"cluster_function_%p\" {", (const void *)this); | ^ ../../src/gcc/analyzer/engine.cc:3079:52: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/engine.cc:3082:22: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3082 | gv->print ("label=\"call string: "); | ^~~ ../../src/gcc/analyzer/engine.cc:3082:37: warning: spurious trailing space in format [-Wformat-diag] 3082 | gv->print ("label=\"call string: "); | ^ ../../src/gcc/analyzer/engine.cc:3084:31: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag] 3084 | gv->print (" function: %s \";", funcname); | ^~~ ../../src/gcc/analyzer/engine.cc:3085:17: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3085 | gv->print ("\n"); | ^~ ../../src/gcc/analyzer/engine.cc:3094:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 3094 | gv->println ("}"); | ^ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph::dump_exploded_nodes() const': ../../src/gcc/analyzer/engine.cc:3464:39: warning: misspelled term 'arg' in format; use 'argument' instead [-Wformat-diag] 3464 | "integer constant required for arg 1"); | ^~~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::viz_callgraph_node::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:3527:74: warning: spurious trailing punctuation sequence '=<' in format [-Wformat-diag] 3527 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=<", | ^~ ../../src/gcc/analyzer/engine.cc:3527:22: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 3527 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=<", | ^ ../../src/gcc/analyzer/engine.cc:3538:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3538 | pp_printf (pp, "supernodes: %i\n", m_num_supernodes); | ^~ ../../src/gcc/analyzer/engine.cc:3543:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3543 | pp_printf (pp, "superedges: %i\n", m_num_superedges); | ^~ ../../src/gcc/analyzer/engine.cc:3558:28: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3558 | pp_printf (pp, "enodes: %i\n", num_enodes); | ^~ ../../src/gcc/analyzer/engine.cc:3583:19: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag] 3583 | pp_printf (pp, ": %i\n", num_enodes); | ^ ../../src/gcc/analyzer/engine.cc:3583:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3583 | pp_printf (pp, ": %i\n", num_enodes); | ^~ ../../src/gcc/analyzer/engine.cc:3595:35: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3595 | pp_printf (pp, "summaries: %i\n", data->m_summaries.length ()); | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::viz_callgraph_node::dump_dot_id(pretty_printer*) const': ../../src/gcc/analyzer/engine.cc:3607:21: warning: unquoted identifier or keyword 'vcg_' in format [-Wformat-diag] 3607 | pp_printf (pp, "vcg_%i", m_index); | ^~~~ ../../src/gcc/analyzer/engine.cc: In member function 'virtual void ana::viz_callgraph_edge::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/engine.cc:3640:10: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 3640 | (" [style=%s, color=%s, weight=%d, constraint=%s," | ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 3641 | " headlabel=\""), | ~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/engine.cc:3640:10: warning: unbalanced punctuation character '[' in format [-Wformat-diag] ../../src/gcc/analyzer/engine.cc:3643:21: warning: unbalanced punctuation character ']' in format [-Wformat-diag] 3643 | pp_printf (pp, "\"];\n"); | ^~~ ../../src/gcc/analyzer/engine.cc:3643:25: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 3643 | pp_printf (pp, "\"];\n"); | ^~ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph_annotator::print_enode(graphviz_out*, const ana::exploded_node*) const': ../../src/gcc/analyzer/engine.cc:3870:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag] 3870 | pp_printf (pp, "", | ^ ../../src/gcc/analyzer/engine.cc:3870:32: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3870 | pp_printf (pp, "", | ^~~ ../../src/gcc/analyzer/engine.cc:3870:37: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag] 3870 | pp_printf (pp, "", | ^~~ ../../src/gcc/analyzer/engine.cc:3872:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag] 3872 | pp_printf (pp, ""); | ^ ../../src/gcc/analyzer/engine.cc:3872:34: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3872 | pp_printf (pp, "
"); | ^~~ ../../src/gcc/analyzer/engine.cc:3872:38: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag] 3872 | pp_printf (pp, "
"); | ^~~ ../../src/gcc/analyzer/engine.cc:3899:21: warning: spurious leading punctuation sequence '"); | ^~ ../../src/gcc/analyzer/engine.cc:3899:28: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag] 3899 | pp_printf (pp, "
"); | ^ ../../src/gcc/analyzer/engine.cc:3900:21: warning: spurious leading punctuation sequence '"); | ^~ ../../src/gcc/analyzer/engine.cc:3900:25: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag] 3900 | pp_printf (pp, ""); | ^ ../../src/gcc/analyzer/engine.cc: In member function 'void ana::exploded_graph_annotator::print_saved_diagnostic(graphviz_out*, const ana::saved_diagnostic*) const': ../../src/gcc/analyzer/engine.cc:3911:21: warning: spurious leading punctuation sequence '<' in format [-Wformat-diag] 3911 | pp_printf (pp, ""); | ^ ../../src/gcc/analyzer/engine.cc:3911:34: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 3911 | pp_printf (pp, "
"); | ^~~ ../../src/gcc/analyzer/engine.cc:3911:38: warning: spurious trailing punctuation sequence '">' in format [-Wformat-diag] 3911 | pp_printf (pp, "
"); | ^~~ ../../src/gcc/analyzer/engine.cc:3933:39: warning: unquoted operator '->' in format [-Wformat-diag] 3933 | pp_printf (pp, "at eedge %i: EN:%i -> EN:%i", | ^~ ../../src/gcc/analyzer/engine.cc:3957:21: warning: spurious leading punctuation sequence '"); | ^~ ../../src/gcc/analyzer/engine.cc:3957:28: warning: spurious trailing punctuation sequence '>' in format [-Wformat-diag] 3957 | pp_printf (pp, "
"); | ^ ../../src/gcc/analyzer/engine.cc: In function 'void ana::impl_run_checkers(ana::logger*)': ../../src/gcc/analyzer/engine.cc:4013:27: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 4013 | logger->log ("checkers[%i]: %s", i, sm->get_name ()); | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc ../../src/gcc/analyzer/program-point.cc: In member function 'void ana::function_point::print(pretty_printer*, const ana::format&) const': ../../src/gcc/analyzer/program-point.cc:121:38: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 121 | pp_printf (pp, "before (SN: %i stmt: %i): ", m_supernode->m_index, | ^~~~ ../../src/gcc/analyzer/program-point.cc:121:48: warning: spurious trailing space in format [-Wformat-diag] 121 | pp_printf (pp, "before (SN: %i stmt: %i): ", m_supernode->m_index, | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc ../../src/gcc/analyzer/sm.cc: In member function 'void ana::state_machine::dump_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/sm.cc:118:36: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 118 | pp_printf (pp, " state %i: %qs\n", i, name); | ^~ ../../src/gcc/analyzer/program-state.cc: In member function 'void ana::extrinsic_state::dump_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/program-state.cc:71:19: warning: unquoted identifier or keyword 'extrinsic_state' in format [-Wformat-diag] 71 | pp_printf (pp, "extrinsic_state: %i checker(s)\n", get_num_checkers ()); | ^~~~~~~~~~~~~~~ ../../src/gcc/analyzer/program-state.cc:71:49: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 71 | pp_printf (pp, "extrinsic_state: %i checker(s)\n", get_num_checkers ()); | ^~ ../../src/gcc/analyzer/program-state.cc:76:23: warning: unquoted identifier or keyword 'm_checkers' in format [-Wformat-diag] 76 | pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ()); | ^~~~~~~~~~ ../../src/gcc/analyzer/program-state.cc:76:36: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 76 | pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ()); | ^~ ../../src/gcc/analyzer/program-state.cc:76:42: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 76 | pp_printf (pp, "m_checkers[%i]: %qs\n", i, checker->get_name ()); | ^~ ../../src/gcc/analyzer/program-state.cc: In member function 'void ana::sm_state_map::print(const ana::state_machine&, const ana::region_model*, pretty_printer*) const': ../../src/gcc/analyzer/program-state.cc:178:23: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag] 178 | pp_printf (pp, ": %s", sm.get_state_name (e.m_state)); | ^ ../../src/gcc/analyzer/program-state.cc: In member function 'void ana::program_state::print(const ana::extrinsic_state&, pretty_printer*) const': ../../src/gcc/analyzer/program-state.cc:710:26: warning: spurious trailing space in format [-Wformat-diag] 710 | pp_printf (pp, "rmodel: "); | ^ ../../src/gcc/analyzer/program-state.cc:720:23: warning: spurious trailing space in format [-Wformat-diag] 720 | pp_printf (pp, "%s: ", ext_state.get_name (i)); | ^ ../../src/gcc/analyzer/program-state.cc: In member function 'void ana::program_state::dump_to_pp(const ana::extrinsic_state&, bool, pretty_printer*) const': ../../src/gcc/analyzer/program-state.cc:741:26: warning: spurious trailing space in format [-Wformat-diag] 741 | pp_printf (pp, "rmodel: "); | ^ ../../src/gcc/analyzer/program-state.cc:752:23: warning: spurious trailing space in format [-Wformat-diag] 752 | pp_printf (pp, "%s: ", ext_state.get_name (i)); | ^ ../../src/gcc/analyzer/program-state.cc: In member function 'bool ana::program_state::on_edge(ana::exploded_graph&, const ana::exploded_node&, const ana::superedge*, ana::state_change*)': ../../src/gcc/analyzer/program-state.cc:836:17: warning: unquoted identifier or keyword 'region_model' in format [-Wformat-diag] 836 | " due to region_model constraints", | ^~~~~~~~~~~~ ../../src/gcc/analyzer/program-state.cc: In member function 'ana::program_state ana::program_state::prune_for_point(ana::exploded_graph&, const ana::program_point&, ana::state_change*) const': ../../src/gcc/analyzer/program-state.cc:958:25: warning: unquoted identifier or keyword 'equiv_classes' in format [-Wformat-diag] 958 | logger->log ("num equiv_classes purged: %i", stats.m_num_equiv_classes); | ^~~~~~~~~~~~~ ../../src/gcc/analyzer/program-state.cc: In member function 'void ana::state_change::sm_change::dump(pretty_printer*, const ana::extrinsic_state&) const': ../../src/gcc/analyzer/program-state.cc:1102:19: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag] 1102 | pp_printf (pp, ": %s: %qs -> %qs)", | ^ ../../src/gcc/analyzer/program-state.cc:1102:29: warning: unquoted operator '->' in format [-Wformat-diag] 1102 | pp_printf (pp, ": %s: %qs -> %qs)", | ^~ ../../src/gcc/analyzer/program-state.cc:1102:35: warning: unbalanced punctuation character ')' in format [-Wformat-diag] 1102 | pp_printf (pp, ": %s: %qs -> %qs)", | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::svalue_id::dump_node_name_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:205:19: warning: unquoted identifier or keyword 'svalue_' in format [-Wformat-diag] 205 | pp_printf (pp, "svalue_%i", m_idx); | ^~~~~~~ ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_id::dump_node_name_to_pp(pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:235:19: warning: unquoted identifier or keyword 'region_' in format [-Wformat-diag] 235 | pp_printf (pp, "region_%i", m_idx); | ^~~~~~~ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::svalue::dump_dot_to_pp(const ana::region_model&, ana::svalue_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:377:26: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 377 | pp_printf (pp, " [label=\""); | ^~~ ../../src/gcc/analyzer/region-model.cc:377:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 377 | pp_printf (pp, " [label=\""); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:1382:72: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 1382 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^~~ ../../src/gcc/analyzer/region-model.cc:1382:20: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 1382 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region::dump_to_pp(const ana::region_model&, ana::region_id, pretty_printer*, const char*, bool) const': ../../src/gcc/analyzer/region-model.cc:1436:31: warning: spurious trailing space in format [-Wformat-diag] 1436 | pp_printf (pp, "%s sval: ", field_prefix); | ^ ../../src/gcc/analyzer/region-model.cc:1442:31: warning: spurious trailing space in format [-Wformat-diag] 1442 | pp_printf (pp, "%s type: ", field_prefix); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:1624:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag] 1624 | pp_printf (pp, ", sval: "); | ^ ../../src/gcc/analyzer/region-model.cc:1624:26: warning: spurious trailing space in format [-Wformat-diag] 1624 | pp_printf (pp, ", sval: "); | ^ ../../src/gcc/analyzer/region-model.cc:1629:23: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag] 1629 | pp_printf (pp, ", type: "); | ^ ../../src/gcc/analyzer/region-model.cc:1629:30: warning: spurious trailing space in format [-Wformat-diag] 1629 | pp_printf (pp, ", type: "); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::map_region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:1773:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag] 1773 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^~~~~~~~~~ ../../src/gcc/analyzer/region-model.cc:1773:42: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 1773 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^~~ ../../src/gcc/analyzer/region-model.cc:1773:36: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 1773 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^ ../../src/gcc/analyzer/region-model.cc:1780:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag] 1780 | pp_printf (pp, "rid_label_%i", child_rid.as_int ()); | ^~~~~~~~~~ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::frame_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:2267:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag] 2267 | pp_printf (pp, ", function: %qs, depth: %i", function_name (m_fun), m_depth); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:2417:23: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag] 2417 | pp_printf (pp, "[%i]: ", key); | ^ ../../src/gcc/analyzer/region-model.cc:2417:26: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 2417 | pp_printf (pp, "[%i]: ", key); | ^~ ../../src/gcc/analyzer/region-model.cc:2417:28: warning: spurious trailing space in format [-Wformat-diag] 2417 | pp_printf (pp, "[%i]: ", key); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::dump_dot_to_pp(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:2455:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag] 2455 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^~~~~~~~~~ ../../src/gcc/analyzer/region-model.cc:2455:42: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 2455 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^~~ ../../src/gcc/analyzer/region-model.cc:2455:36: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 2455 | pp_printf (pp, "rid_label_%i [label=\"", child_rid.as_int ()); | ^ ../../src/gcc/analyzer/region-model.cc:2462:23: warning: unquoted identifier or keyword 'rid_label_' in format [-Wformat-diag] 2462 | pp_printf (pp, "rid_label_%i", child_rid.as_int ()); | ^~~~~~~~~~ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::array_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:2487:20: warning: spurious leading punctuation sequence '[' in format [-Wformat-diag] 2487 | pp_printf (pp, "[%i]: ", key); | ^ ../../src/gcc/analyzer/region-model.cc:2487:23: warning: unquoted sequence of 2 consecutive punctuation characters ']:' in format [-Wformat-diag] 2487 | pp_printf (pp, "[%i]: ", key); | ^~ ../../src/gcc/analyzer/region-model.cc:2487:25: warning: spurious trailing space in format [-Wformat-diag] 2487 | pp_printf (pp, "[%i]: ", key); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::stack_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:2721:33: warning: spurious trailing space in format [-Wformat-diag] 2721 | pp_printf (pp, "frame for %qs: ", function_name (fun)); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::root_region::dump_child_label(const ana::region_model&, ana::region_id, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:3069:27: warning: spurious trailing space in format [-Wformat-diag] 3069 | pp_printf (pp, "stack: "); | ^ ../../src/gcc/analyzer/region-model.cc:3071:29: warning: spurious trailing space in format [-Wformat-diag] 3071 | pp_printf (pp, "globals: "); | ^ ../../src/gcc/analyzer/region-model.cc:3073:26: warning: spurious trailing space in format [-Wformat-diag] 3073 | pp_printf (pp, "code: "); | ^ ../../src/gcc/analyzer/region-model.cc:3075:26: warning: spurious trailing space in format [-Wformat-diag] 3075 | pp_printf (pp, "heap: "); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'virtual void ana::symbolic_region::print_fields(const ana::region_model&, ana::region_id, pretty_printer*) const': ../../src/gcc/analyzer/region-model.cc:3457:19: warning: spurious leading punctuation sequence ',' in format [-Wformat-diag] 3457 | pp_printf (pp, ", possibly_null: %s", m_possibly_null ? "true" : "false"); | ^ ../../src/gcc/analyzer/region-model.cc:3457:21: warning: unquoted identifier or keyword 'possibly_null' in format [-Wformat-diag] 3457 | pp_printf (pp, ", possibly_null: %s", m_possibly_null ? "true" : "false"); | ^~~~~~~~~~~~~ ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::dump_to_pp(pretty_printer*, bool) const': ../../src/gcc/analyzer/region-model.cc:3761:27: warning: spurious trailing space in format [-Wformat-diag] 3761 | pp_printf (pp, " %s ", constraint_op_code (c->m_op)); | ^ ../../src/gcc/analyzer/region-model.cc: In function 'void ana::dump_vec_of_tree(pretty_printer*, bool*, const auto_vec&, const char*)': ../../src/gcc/analyzer/region-model.cc:3829:19: warning: spurious leading punctuation sequence '{' in format [-Wformat-diag] 3829 | pp_printf (pp, "{"); | ^ ../../src/gcc/analyzer/region-model.cc:3829:19: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/region-model.cc:3838:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 3838 | pp_printf (pp, "}: %s", label); | ^ ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::dump_summary_of_rep_path_vars(pretty_printer*, auto_vec*, bool*)': ../../src/gcc/analyzer/region-model.cc:3904:22: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag] 3904 | pp_printf (pp, ": %s", poison_kind_to_str (pkind)); | ^ ../../src/gcc/analyzer/sm-file.cc: In member function 'virtual void ana::{anonymous}::fileptr_state_machine::on_condition(ana::sm_context*, const ana::supernode*, const gimple*, tree, tree_code, tree) const': ../../src/gcc/analyzer/sm-file.cc:379:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag] 379 | log ("got 'ARG != 0' match"); | ^~~ ../../src/gcc/analyzer/sm-file.cc:379:22: warning: unquoted operator '!=' in format [-Wformat-diag] 379 | log ("got 'ARG != 0' match"); | ^~ ../../src/gcc/analyzer/sm-file.cc:385:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag] 385 | log ("got 'ARG == 0' match"); | ^~~ ../../src/gcc/analyzer/sm-file.cc:385:22: warning: unquoted operator '==' in format [-Wformat-diag] 385 | log ("got 'ARG == 0' match"); | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc ../../src/gcc/analyzer/region-model.cc: In member function 'void ana::region_model::purge_unused_svalues(ana::purge_stats*, ana::region_model_context*, ana::svalue_id_set*)': ../../src/gcc/analyzer/region-model.cc:6591:33: warning: spurious trailing space in format [-Wformat-diag] 6591 | logger->log_partial ("map: "); | ^ ../../src/gcc/analyzer/sm-malloc.cc: In member function 'virtual void ana::{anonymous}::malloc_state_machine::on_condition(ana::sm_context*, const ana::supernode*, const gimple*, tree, tree_code, tree) const': ../../src/gcc/analyzer/sm-malloc.cc:789:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag] 789 | log ("got 'ARG != 0' match"); | ^~~ ../../src/gcc/analyzer/sm-malloc.cc:789:22: warning: unquoted operator '!=' in format [-Wformat-diag] 789 | log ("got 'ARG != 0' match"); | ^~ ../../src/gcc/analyzer/sm-malloc.cc:795:18: warning: misspelled term 'ARG' in format; use 'argument' instead [-Wformat-diag] 795 | log ("got 'ARG == 0' match"); | ^~~ ../../src/gcc/analyzer/sm-malloc.cc:795:22: warning: unquoted operator '==' in format [-Wformat-diag] 795 | log ("got 'ARG == 0' match"); | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched.o -MT x86-tune-sched.o -MMD -MP -MF ./.deps/x86-tune-sched.TPo ../../src/gcc/config/i386/x86-tune-sched.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-bd.o -MT x86-tune-sched-bd.o -MMD -MP -MF ./.deps/x86-tune-sched-bd.TPo ../../src/gcc/config/i386/x86-tune-sched-bd.c ../../src/gcc/analyzer/state-purge.cc: In constructor 'ana::state_purge_per_ssa_name::state_purge_per_ssa_name(const ana::state_purge_map&, tree, function*)': ../../src/gcc/analyzer/state-purge.cc:117:21: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 117 | map.log ("def stmt: %s", pp_formatted_text (&pp)); | ^~~~ ../../src/gcc/analyzer/state-purge.cc:135:26: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 135 | map.log ("used by stmt: %s", pp_formatted_text (&pp)); | ^~~~ ../../src/gcc/analyzer/state-purge.cc:185:22: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 185 | map.log ("last stmt in BB"); | ^~~~ ../../src/gcc/analyzer/state-purge.cc:193:24: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 193 | map.log ("not last stmt in BB"); | ^~~~ ../../src/gcc/analyzer/state-purge.cc:216:45: warning: spurious trailing space in format [-Wformat-diag] 216 | map.get_logger ()->log_partial (" point: "); | ^ ../../src/gcc/analyzer/state-purge.cc: In member function 'void ana::state_purge_per_ssa_name::add_to_worklist(const ana::function_point&, auto_vec*, ana::logger*)': ../../src/gcc/analyzer/state-purge.cc:258:36: warning: unterminated quote character ''' in format [-Wformat-diag] 258 | logger->log_partial ("point: '"); | ^ ../../src/gcc/analyzer/state-purge.cc:260:29: warning: unterminated quote character ''' in format [-Wformat-diag] 260 | logger->log_partial ("' for worklist for %qE", m_name); | ^ ../../src/gcc/analyzer/state-purge.cc: In member function 'void ana::state_purge_per_ssa_name::process_point(const ana::function_point&, auto_vec*, const ana::state_purge_map&)': ../../src/gcc/analyzer/state-purge.cc:295:48: warning: unterminated quote character ''' in format [-Wformat-diag] 295 | logger->log_partial ("considering point: '"); | ^ ../../src/gcc/analyzer/state-purge.cc:297:29: warning: unterminated quote character ''' in format [-Wformat-diag] 297 | logger->log_partial ("' for %qE", m_name); | ^ ../../src/gcc/analyzer/state-purge.cc:323:23: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 323 | logger->log ("def stmt within phis; terminating"); | ^~~~ ../../src/gcc/analyzer/state-purge.cc:361:26: warning: misspelled term 'stmt' in format; use 'statement' instead [-Wformat-diag] 361 | logger->log ("def stmt; terminating"); | ^~~~ ../../src/gcc/analyzer/state-purge.cc: In member function 'virtual bool ana::state_purge_annotator::add_node_annotations(graphviz_out*, const ana::supernode&, bool) const': ../../src/gcc/analyzer/state-purge.cc:435:20: warning: unquoted identifier or keyword 'annotation_for_node_' in format [-Wformat-diag] 435 | pp_printf (pp, "annotation_for_node_%i", n.m_index); | ^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/state-purge.cc:436:73: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] 436 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^~~ ../../src/gcc/analyzer/state-purge.cc:436:21: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 436 | pp_printf (pp, " [shape=none,margin=0,style=filled,fillcolor=%s,label=\"", | ^ ../../src/gcc/analyzer/state-purge.cc: In function 'void print_vec_of_names(graphviz_out*, const char*, const auto_vec&)': ../../src/gcc/analyzer/state-purge.cc:478:23: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 478 | pp_printf (pp, "%s: {", title); | ^ ../../src/gcc/analyzer/state-purge.cc:478:23: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/state-purge.cc:485:19: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 485 | pp_printf (pp, "}"); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-atom.o -MT x86-tune-sched-atom.o -MMD -MP -MF ./.deps/x86-tune-sched-atom.TPo ../../src/gcc/config/i386/x86-tune-sched-atom.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o x86-tune-sched-core.o -MT x86-tune-sched-core.o -MMD -MP -MF ./.deps/x86-tune-sched-core.TPo ../../src/gcc/config/i386/x86-tune-sched-core.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-options.o -MT i386-options.o -MMD -MP -MF ./.deps/i386-options.TPo ../../src/gcc/config/i386/i386-options.c ../../src/gcc/analyzer/supergraph.cc: In constructor 'ana::supergraph::supergraph(ana::logger*)': ../../src/gcc/analyzer/supergraph.cc:154:24: warning: unquoted sequence of 3 consecutive punctuation characters '...' in format [-Wformat-diag] 154 | logger->log ("SN: %i...%i: function %qD", | ^~~ ../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::supergraph::dump_dot_to_pp(pretty_printer*, const dump_args_t&) const': ../../src/gcc/analyzer/supergraph.cc:270:29: warning: spurious trailing punctuation sequence ';' in format [-Wformat-diag] 270 | gv.println ("overlap=false;"); | ^ ../../src/gcc/analyzer/supergraph.cc:271:29: warning: spurious trailing punctuation sequence ';' in format [-Wformat-diag] 271 | gv.println ("compound=true;"); | ^ ../../src/gcc/analyzer/supergraph.cc:284:31: warning: unquoted identifier or keyword 'cluster_' in format [-Wformat-diag] 284 | gv.println ("subgraph \"cluster_%s\" {", | ^~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:284:44: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 284 | gv.println ("subgraph \"cluster_%s\" {", | ^ ../../src/gcc/analyzer/supergraph.cc:284:44: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 288 | ("style=\"dashed\";" | ~^~~~~~~~~~~~~~~~~~~ 289 | " color=\"black\";" | ~~~~~~~~~~~~~~~~~~~ 290 | " label=\"%s\";\n"), | ~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:288:5: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:300:27: warning: unquoted identifier or keyword 'cluster_' in format [-Wformat-diag] 300 | gv.println ("subgraph \"cluster_%s_bb_%i\" {", | ^~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:300:37: warning: unquoted identifier or keyword '_bb_' in format [-Wformat-diag] 300 | gv.println ("subgraph \"cluster_%s_bb_%i\" {", | ^~~~ ../../src/gcc/analyzer/supergraph.cc:300:46: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 300 | gv.println ("subgraph \"cluster_%s_bb_%i\" {", | ^ ../../src/gcc/analyzer/supergraph.cc:300:46: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 304 | ("style=\"dashed\";" | ~^~~~~~~~~~~~~~~~~~~ 305 | " color=\"black\";" | ~~~~~~~~~~~~~~~~~~~ 306 | " label=\"bb: %i\";\n"), | ~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted sequence of 2 consecutive punctuation characters '";' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:304:8: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:321:16: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 321 | gv.println ("}"); | ^ ../../src/gcc/analyzer/supergraph.cc:335:20: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 335 | gv.println ("}"); | ^ ../../src/gcc/analyzer/supergraph.cc:347:16: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 347 | gv.println ("}"); | ^ ../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::supernode::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/supergraph.cc:449:26: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag] 449 | gv->println ("subgraph cluster_node_%i {", | ^~~~~~~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:449:42: warning: spurious trailing punctuation sequence '{' in format [-Wformat-diag] 449 | gv->println ("subgraph cluster_node_%i {", | ^ ../../src/gcc/analyzer/supergraph.cc:449:42: warning: unbalanced punctuation character '{' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:453:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 453 | gv->println("style=\"solid\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:453:29: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag] 453 | gv->println("style=\"solid\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:454:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 454 | gv->println("color=\"black\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:454:29: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag] 454 | gv->println("color=\"black\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:455:25: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 455 | gv->println("fillcolor=\"lightgrey\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:455:37: warning: spurious trailing punctuation sequence '";' in format [-Wformat-diag] 455 | gv->println("fillcolor=\"lightgrey\";"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:456:21: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 456 | gv->println("label=\"sn: %i (bb: %i)\";", m_index, m_bb->index); | ^~~ ../../src/gcc/analyzer/supergraph.cc:456:38: warning: spurious trailing punctuation sequence ')";' in format [-Wformat-diag] 456 | gv->println("label=\"sn: %i (bb: %i)\";", m_index, m_bb->index); | ^~~~ ../../src/gcc/analyzer/supergraph.cc:466:61: warning: spurious trailing punctuation sequence '=<' in format [-Wformat-diag] 466 | " [shape=none,margin=0,style=filled,fillcolor=%s,label=<", | ^~ ../../src/gcc/analyzer/supergraph.cc:466:9: warning: unbalanced punctuation character '[' in format [-Wformat-diag] 466 | " [shape=none,margin=0,style=filled,fillcolor=%s,label=<", | ^ ../../src/gcc/analyzer/supergraph.cc:586:17: warning: unbalanced punctuation character '}' in format [-Wformat-diag] 586 | gv->println ("}"); | ^ ../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::supernode::dump_dot_id(pretty_printer*) const': ../../src/gcc/analyzer/supergraph.cc:594:19: warning: unquoted identifier or keyword 'node_' in format [-Wformat-diag] 594 | pp_printf (pp, "node_%i", m_index); | ^~~~~ ../../src/gcc/analyzer/supergraph.cc: In member function 'void ana::superedge::dump(pretty_printer*) const': ../../src/gcc/analyzer/supergraph.cc:666:32: warning: unquoted operator '->' in format [-Wformat-diag] 666 | pp_printf (pp, "edge: SN: %i -> SN: %i", m_src->m_index, m_dest->m_index); | ^~ ../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::superedge::dump_dot(graphviz_out*, const dump_args_t&) const': ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] 751 | (" [style=%s, color=%s, weight=%d, constraint=%s," | ~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 752 | " ltail=\"cluster_node_%i\", lhead=\"cluster_node_%i\"" | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 753 | " headlabel=\""), | ~~~~~~~~~~~~~~~~ ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '",' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted sequence of 2 consecutive punctuation characters '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unquoted identifier or keyword 'cluster_node_' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: spurious trailing punctuation sequence '="' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unbalanced punctuation character '[' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:751:8: warning: unterminated quote character '"' in format [-Wformat-diag] ../../src/gcc/analyzer/supergraph.cc:759:19: warning: unbalanced punctuation character ']' in format [-Wformat-diag] 759 | pp_printf (pp, "\"];\n"); | ^~~ ../../src/gcc/analyzer/supergraph.cc:759:23: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 759 | pp_printf (pp, "\"];\n"); | ^~ ../../src/gcc/analyzer/supergraph.cc: In member function 'virtual void ana::switch_cfg_superedge::dump_label_to_pp(pretty_printer*, bool) const': ../../src/gcc/analyzer/supergraph.cc:868:27: warning: spurious trailing space in format [-Wformat-diag] 868 | pp_printf (pp, "case "); | ^ ../../src/gcc/analyzer/supergraph.cc:872:21: warning: unquoted sequence of 3 consecutive punctuation characters '...' in format [-Wformat-diag] 872 | pp_printf (pp, " ... "); | ^~~ ../../src/gcc/analyzer/supergraph.cc:872:24: warning: spurious trailing space in format [-Wformat-diag] 872 | pp_printf (pp, " ... "); | ^ ../../src/gcc/analyzer/supergraph.cc:875:23: warning: spurious leading punctuation sequence ':' in format [-Wformat-diag] 875 | pp_printf (pp, ":"); | ^ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-builtins.o -MT i386-builtins.o -MMD -MP -MF ./.deps/i386-builtins.TPo ../../src/gcc/config/i386/i386-builtins.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-expand.o -MT i386-expand.o -MMD -MP -MF ./.deps/i386-expand.TPo ../../src/gcc/config/i386/i386-expand.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-features.o -MT i386-features.o -MMD -MP -MF ./.deps/i386-features.TPo ../../src/gcc/config/i386/i386-features.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cet.o -MT cet.o -MMD -MP -MF ./.deps/cet.TPo ../../src/gcc/config/i386/cet.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.c In file included from ../../src/gcc/config/i386/i386-options.c:83: ../../src/gcc/config/i386/i386-options.c: In function 'bool ix86_option_override_internal(bool, gcc_options*, gcc_options*)': ../../src/gcc/config/i386/i386-options.c:2016:16: warning: quoted '%s' directive in format; use '%qs' instead [-Wformat-diag] 2016 | error (G_("%<%s%> architecture level is only defined" | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 2017 | " for the x86-64 psABI"), opts->x_ix86_arch_string); | ~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/intl.h:59:21: note: in definition of macro 'G_' 59 | # define G_(gmsgid) gmsgid | ^~~~~~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o i386-common.o -MT i386-common.o -MMD -MP -MF ./.deps/i386-common.TPo ../../src/gcc/common/config/i386/i386-common.c g++ -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"10.2.1\"" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.c ../../src/gcc/json.cc: In member function 'virtual void json::object::print(pretty_printer*) const': ../../src/gcc/json.cc:73:23: warning: quoted '%s' directive in format [-Wformat-diag] 73 | pp_printf (pp, "\"%s\": ", key); // FIXME: escaping? | ^~~~~~ ../../src/gcc/json.cc:73:22: note: if using '%qs' is not feasible, use '"%-s"' instead 73 | pp_printf (pp, "\"%s\": ", key); // FIXME: escaping? | ^~~~~~~~~~ ../../src/gcc/json.cc:73:27: warning: unquoted sequence of 2 consecutive punctuation characters '":' in format [-Wformat-diag] 73 | pp_printf (pp, "\"%s\": ", key); // FIXME: escaping? | ^~~ ../../src/gcc/json.cc:73:30: warning: spurious trailing space in format [-Wformat-diag] 73 | pp_printf (pp, "\"%s\": ", key); // FIXME: escaping? | ^ ../../src/gcc/json.cc:73:23: warning: unterminated quote character '"' in format [-Wformat-diag] 73 | pp_printf (pp, "\"%s\": ", key); // FIXME: escaping? | ^~ g++ -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.c ../../src/gcc/edit-context.c: In member function 'void edited_file::print_diff(pretty_printer*, bool)': ../../src/gcc/edit-context.c:450:23: warning: spurious leading punctuation sequence '---' in format [-Wformat-diag] 450 | pp_printf (pp, "--- %s\n", m_filename); | ^~~ ../../src/gcc/edit-context.c:450:29: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 450 | pp_printf (pp, "--- %s\n", m_filename); | ^~ ../../src/gcc/edit-context.c:451:23: warning: spurious leading punctuation sequence '+++' in format [-Wformat-diag] 451 | pp_printf (pp, "+++ %s\n", m_filename); | ^~~ ../../src/gcc/edit-context.c:451:29: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 451 | pp_printf (pp, "+++ %s\n", m_filename); | ^~ ../../src/gcc/edit-context.c: In member function 'int edited_file::print_diff_hunk(pretty_printer*, int, int, int)': ../../src/gcc/edit-context.c:522:19: warning: spurious leading punctuation sequence '@@' in format [-Wformat-diag] 522 | pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines, | ^~ ../../src/gcc/edit-context.c:522:36: warning: unquoted sequence of 2 consecutive punctuation characters '@@' in format [-Wformat-diag] 522 | pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines, | ^~ ../../src/gcc/edit-context.c:522:38: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 522 | pp_printf (pp, "@@ -%i,%i +%i,%i @@\n", old_start_of_hunk, old_num_lines, | ^~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.c g++ -fno-PIE -c -DBASEVER="\"10.2.1\"" -DDATESTAMP="\" 20210110\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(GCC) \"" -DBUGURL="\"\"" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o version.o -MT version.o -MMD -MP -MF ./.deps/version.TPo ../../src/gcc/version.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -DHAVE_CONFIG_H -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c g++ -fno-PIE -c -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.2.1\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.c ../../src/gcc/../libgcc/libgcov-util.c: In function 'gcov_info* gcov_read_profile_dir(const char*, int)': ../../src/gcc/../libgcc/libgcov-util.c:463:9: warning: ignoring return value of 'int chdir(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 463 | chdir (pwd); | ~~~~~~^~~~~ g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.2.1\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.2.1\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.c ../../src/gcc/lto-wrapper.c: In function 'void merge_and_complain(cl_decoded_option**, unsigned int*, cl_decoded_option*, unsigned int, cl_decoded_option*, unsigned int)': ../../src/gcc/lto-wrapper.c:320:14: warning: unquoted option name '-fcf-protection' in format [-Wformat-diag] 320 | "option -fcf-protection with mismatching values" | ^~~~~~~~~~~~~~~ g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.c ../../src/gcc/lto-wrapper.c:561:35: warning: unquoted option name '-Xassembler' in format [-Wformat-diag] 561 | warning (0, "Extra option to -Xassembler: %s," | ^~~~~~~~~~~ ../../src/gcc/lto-wrapper.c:562:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag] 562 | " dropping all -Xassembler and -Wa options.", | ^~~~~~~~~~~ ../../src/gcc/lto-wrapper.c:562:39: warning: unquoted option name '-Wa' in format [-Wformat-diag] 562 | " dropping all -Xassembler and -Wa options.", | ^~~ ../../src/gcc/lto-wrapper.c:569:35: warning: unquoted option name '-Xassembler' in format [-Wformat-diag] 569 | warning (0, "Extra option to -Xassembler: %s," | ^~~~~~~~~~~ ../../src/gcc/lto-wrapper.c:570:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag] 570 | " dropping all -Xassembler and -Wa options.", | ^~~~~~~~~~~ ../../src/gcc/lto-wrapper.c:570:39: warning: unquoted option name '-Wa' in format [-Wformat-diag] 570 | " dropping all -Xassembler and -Wa options.", | ^~~ ../../src/gcc/lto-wrapper.c:578:23: warning: unquoted option name '-Xassembler' in format [-Wformat-diag] 578 | " dropping all -Xassembler and -Wa options.", | ^~~~~~~~~~~ ../../src/gcc/lto-wrapper.c:578:39: warning: unquoted option name '-Wa' in format [-Wformat-diag] 578 | " dropping all -Xassembler and -Wa options.", | ^~~ ../../src/gcc/lto-wrapper.c: In function 'void compile_images_for_offload_targets(unsigned int, char**, cl_decoded_option*, unsigned int, cl_decoded_option*, unsigned int)': ../../src/gcc/lto-wrapper.c:993:52: warning: unquoted whitespace character '\x0a' in format [-Wformat-diag] 993 | "problem with building target image for %s\n", | ^~ ../../src/gcc/lto-wrapper.c: In function 'bool find_and_merge_options(int, off_t, const char*, cl_decoded_option*, unsigned int, cl_decoded_option**, unsigned int*, const char*)': ../../src/gcc/lto-wrapper.c:1102:8: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 1102 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.c g++ -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.2.1\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"10.2.1\"" -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.c ../../src/gcc/gcc.c:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ | /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l: In function 'int yylex(const char**)': gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.c:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:136:1: note: in expansion of macro 'YY_DO_BEFORE_ACTION' /tmp/gcc-10.2.0/gcc-10.2.0/gcc/gengtype-lex.l:132:1: note: here g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.c g++ -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"10.2.1\" -DDEFAULT_REAL_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"x86_64-pc-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o driver-i386.o -MT driver-i386.o -MMD -MP -MF ./.deps/driver-i386.TPo ../../src/gcc/config/i386/driver-i386.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.c build/genmatch --gimple ../../src/gcc/match.pd \ > tmp-gimple-match.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.c GIMPLE decision tree has 3291 leafs, maximum depth 28 and a total number of 13720 nodes removed 2179 duplicate tails build/genmatch --generic ../../src/gcc/match.pd \ > tmp-generic-match.c GENERIC decision tree has 3162 leafs, maximum depth 13 and a total number of 13042 nodes ../../src/gcc/gcc.c: In function 'void print_option(const char*, unsigned int, cl_decoded_option*)': ../../src/gcc/gcc.c:4537:22: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'long long int' [-Wformat=] 4537 | printf (" value [%ld]", in_decoded_options[i].value); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~~~~ | | | | long int long long int | %lld removed 2119 duplicate tails /bin/sh ../../src/gcc/../move-if-change tmp-gimple-match.c \ gimple-match.c /bin/sh ../../src/gcc/../move-if-change tmp-generic-match.c \ generic-match.c echo timestamp > s-match rm -rf libcommon-target.a ar rc libcommon-target.a i386-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o ranlib libcommon-target.a rm -rf libcommon.a ar rc libcommon.a diagnostic.o diagnostic-color.o diagnostic-show-locus.o diagnostic-format-json.o json.o edit-context.o pretty-print.o intl.o sbitmap.o vec.o input.o version.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o ranlib libcommon.a g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.c ../../src/gcc/gcc.c: In function 'long long unsigned int get_random_number()': ../../src/gcc/gcc.c:10022:12: warning: ignoring return value of 'ssize_t read(int, void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 10022 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.c: In function 'void do_report_bug(const char**, int, char**, char**)': ../../src/gcc/gcc.c:7310:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 7310 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7313:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 7313 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.c:7314:13: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 7314 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7316:9: warning: ignoring return value of 'ssize_t write(int, const void*, size_t)' declared with attribute 'warn_unused_result' [-Wunused-result] 7316 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.c:7336:10: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 7336 | system(cmd); | ~~~~~~^~~~~ ../../src/gcc/gcc.c:7349:11: warning: ignoring return value of 'int system(const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 7349 | system (cmd); | ~~~~~~~^~~~~ g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.c g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o Tcollect2 \ collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tlto-wrapper lto-wrapper g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match.o -MT gimple-match.o -MMD -MP -MF ./.deps/gimple-match.TPo gimple-match.c g++ -fno-PIE -c -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match.o -MT generic-match.o -MMD -MP -MF ./.deps/generic-match.TPo generic-match.c g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o version.o errors.o libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o xgcc gcc.o gcc-main.o ggc-none.o \ c/gccspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o cpp gcc.o gcc-main.o ggc-none.o \ c-family/cppspec.o driver-i386.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars if /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list echo GCC_CFLAGS = '-g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars /bin/sh ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars echo timestamp > s-fixinc_list g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov rm -rf libbackend.a ar rcT libbackend.a gimple-match.o generic-match.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o symtab.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbxout.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-builder.o gimple-expr.o gimple-iterator.o gimple-fold.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-pretty-print.o gimple-ssa-backprop.o gimple-ssa-evrp.o gimple-ssa-evrp-analyze.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hsa-common.o hsa-gen.o hsa-regalloc.o hsa-brig.o hsa-dump.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-hsa.o ipa-ref.o ipa-utils.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-grid.o omp-low.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o unique-ptr-tests.o valtrack.o value-range.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o web.o wide-int.o wide-int-print.o xcoffout.o i386.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/call-string.o analyzer/checker-path.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/function-set.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/region-model.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/supergraph.o x86-tune-sched.o x86-tune-sched-bd.o x86-tune-sched-atom.o x86-tune-sched-core.o i386-options.o i386-builtins.o i386-expand.o i386-features.o linux.o cet.o host-linux.o if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.c cc1-checksum.c; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.c.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.c.tmp cc1-checksum.c; \ fi gnatmake -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb g++ -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/bid -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.c i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc_front.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_ident.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_front.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o i386-c.o glibc-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/bug.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_interning.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_maps.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_maps.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/dyn_tables.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/errorout-console.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flags.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/hash.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/interning.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/libraries.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/name_table.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/options.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/options.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_be.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_be.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/translation.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/types.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-configuration.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb echo | /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /bin/sh ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo The directory that should contain system headers does not exist: >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/../../../../x86_64-pc-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='x86_64-pc-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/sh'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-x86_64-pc-linux-gnu/fixincludes && \ /bin/sh ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ rm -f ${fix_dir}/syslimits.h; \ if [ -f ${fix_dir}/limits.h ]; then \ mv ${fix_dir}/limits.h ${fix_dir}/syslimits.h; \ else \ cp ../../src/gcc/gsyslimits.h ${fix_dir}/syslimits.h; \ fi; \ chmod a+r ${fix_dir}/syslimits.h; \ done; \ fi Fixing headers into /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed for x86_64-pc-linux-gnu target Forbidden identifiers: linux unix Finding directories and links to directories Searching /usr/include/. Searching /usr/include/./llvm-c Searching /usr/include/./clang/11/include Searching /usr/include/./clang/11.0.1/include Searching /usr/include/./llvm i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-errors.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb Making symbolic directory links Fixing directory /usr/include into /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed Applying pthread_incomplete_struct_argument to pthread.h Applying io_quotes_use to linux/raw.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_def to linux/soundcard.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/remoteproc_cdev.h Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/auto_fs.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/lightnvm.h Applying io_quotes_use to linux/ptp_clock.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/nbd.h Applying io_quotes_use to linux/omapfb.h i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb Applying io_quotes_use to linux/vtpm_proxy.h Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/f2fs.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/nitro_enclaves.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/fd.h Applying io_quotes_use to linux/cciss_ioctl.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/ipmi.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/blkpg.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/ppdev.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_use to linux/reiserfs_fs.h Applying io_quotes_use to linux/dma-heap.h Applying io_quotes_use to linux/aspeed-p2a-ctrl.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/dm-ioctl.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/cm4000_cs.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_use to linux/rio_cm_cdev.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/spi/spidev.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/atmbr2684.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/vm_sockets.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/tee.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to rdma/rdma_user_ioctl.h Applying hpux8_bogus_inlines to math.h Applying glibc_stdint to stdint.h Applying io_quotes_use to misc/xilinx_sdfec.h Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to sound/asequencer.h Applying io_quotes_use to sound/asound.h Applying io_quotes_use to sound/compress_offload.h Applying io_quotes_use to sound/emu10k1.h Applying sysv68_string to string.h Applying io_quotes_use to video/sisfb.h Applying machine_name to i386-linux-gnu/bits/statx.h Fixed: i386-linux-gnu/bits/statx.h Quoted includes in i386-linux-gnu/bits/statx.h Applying io_quotes_use to i386-linux-gnu/sys/raw.h Applying io_quotes_use to i386-linux-gnu/sys/mount.h Applying io_quotes_use to i386-linux-gnu/asm/mtrr.h Applying io_quotes_def to llvm-11/llvm/Demangle/ItaniumDemangle.h Applying io_quotes_use to mtd/ubi-user.h Applying sun_malloc to malloc.h Fixing directory /usr/include/llvm-c into /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/include/llvm-c Fixing directory /usr/include/clang/11/include into /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/lib/clang/11.0.1/include Fixing directory /usr/include/llvm into /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/include-fixed/root/usr/lib/llvm-11/include/llvm Applying io_quotes_def to llvm/Demangle/ItaniumDemangle.h Cleaning up unneeded directories: fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/config/i386/cpuid.h ../../src/gcc/config/i386/mmintrin.h ../../src/gcc/config/i386/mm3dnow.h ../../src/gcc/config/i386/xmmintrin.h ../../src/gcc/config/i386/emmintrin.h ../../src/gcc/config/i386/pmmintrin.h ../../src/gcc/config/i386/tmmintrin.h ../../src/gcc/config/i386/ammintrin.h ../../src/gcc/config/i386/smmintrin.h ../../src/gcc/config/i386/nmmintrin.h ../../src/gcc/config/i386/bmmintrin.h ../../src/gcc/config/i386/fma4intrin.h ../../src/gcc/config/i386/wmmintrin.h ../../src/gcc/config/i386/immintrin.h ../../src/gcc/config/i386/x86intrin.h ../../src/gcc/config/i386/avxintrin.h ../../src/gcc/config/i386/xopintrin.h ../../src/gcc/config/i386/ia32intrin.h ../../src/gcc/config/i386/cross-stdarg.h ../../src/gcc/config/i386/lwpintrin.h ../../src/gcc/config/i386/popcntintrin.h ../../src/gcc/config/i386/lzcntintrin.h ../../src/gcc/config/i386/bmiintrin.h ../../src/gcc/config/i386/bmi2intrin.h ../../src/gcc/config/i386/tbmintrin.h ../../src/gcc/config/i386/avx2intrin.h ../../src/gcc/config/i386/avx512fintrin.h ../../src/gcc/config/i386/fmaintrin.h ../../src/gcc/config/i386/f16cintrin.h ../../src/gcc/config/i386/rtmintrin.h ../../src/gcc/config/i386/xtestintrin.h ../../src/gcc/config/i386/rdseedintrin.h ../../src/gcc/config/i386/prfchwintrin.h ../../src/gcc/config/i386/adxintrin.h ../../src/gcc/config/i386/fxsrintrin.h ../../src/gcc/config/i386/xsaveintrin.h ../../src/gcc/config/i386/xsaveoptintrin.h ../../src/gcc/config/i386/avx512cdintrin.h ../../src/gcc/config/i386/avx512erintrin.h ../../src/gcc/config/i386/avx512pfintrin.h ../../src/gcc/config/i386/shaintrin.h ../../src/gcc/config/i386/clflushoptintrin.h ../../src/gcc/config/i386/xsavecintrin.h ../../src/gcc/config/i386/xsavesintrin.h ../../src/gcc/config/i386/avx512dqintrin.h ../../src/gcc/config/i386/avx512bwintrin.h ../../src/gcc/config/i386/avx512vlintrin.h ../../src/gcc/config/i386/avx512vlbwintrin.h ../../src/gcc/config/i386/avx512vldqintrin.h ../../src/gcc/config/i386/avx512ifmaintrin.h ../../src/gcc/config/i386/avx512ifmavlintrin.h ../../src/gcc/config/i386/avx512vbmiintrin.h ../../src/gcc/config/i386/avx512vbmivlintrin.h ../../src/gcc/config/i386/avx5124fmapsintrin.h ../../src/gcc/config/i386/avx5124vnniwintrin.h ../../src/gcc/config/i386/avx512vpopcntdqintrin.h ../../src/gcc/config/i386/clwbintrin.h ../../src/gcc/config/i386/mwaitxintrin.h ../../src/gcc/config/i386/clzerointrin.h ../../src/gcc/config/i386/pkuintrin.h ../../src/gcc/config/i386/sgxintrin.h ../../src/gcc/config/i386/cetintrin.h ../../src/gcc/config/i386/gfniintrin.h ../../src/gcc/config/i386/cet.h ../../src/gcc/config/i386/avx512vbmi2intrin.h ../../src/gcc/config/i386/avx512vbmi2vlintrin.h ../../src/gcc/config/i386/avx512vnniintrin.h ../../src/gcc/config/i386/avx512vnnivlintrin.h ../../src/gcc/config/i386/vaesintrin.h ../../src/gcc/config/i386/vpclmulqdqintrin.h ../../src/gcc/config/i386/avx512vpopcntdqvlintrin.h ../../src/gcc/config/i386/avx512bitalgintrin.h ../../src/gcc/config/i386/pconfigintrin.h ../../src/gcc/config/i386/wbnoinvdintrin.h ../../src/gcc/config/i386/movdirintrin.h ../../src/gcc/config/i386/waitpkgintrin.h ../../src/gcc/config/i386/cldemoteintrin.h ../../src/gcc/config/i386/avx512bf16vlintrin.h ../../src/gcc/config/i386/avx512bf16intrin.h ../../src/gcc/config/i386/enqcmdintrin.h ../../src/gcc/config/i386/avx512vp2intersectintrin.h ../../src/gcc/config/i386/avx512vp2intersectvlintrin.h mm_malloc.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/x86_64-linux-gnu/limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/sh ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ /bin/sh ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${fix_dir}/limits.h; \ cp -p tmp-limits.h ${fix_dir}/limits.h; \ chmod a+r ${fix_dir}/limits.h; \ done rm -f include-fixed/README cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README chmod a+r include-fixed/README echo timestamp > stmp-int-hdrs /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests cc1: note: self-tests are not enabled in this build echo timestamp > s-selftest-c i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_lib.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-std_package.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-utils.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/simple_io.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/version.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/version.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/files_map.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/str_table.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/logging.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/std_names.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/tables.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-scanner.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-tokens.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-dump_tree.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-back_end.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-disp_tree.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-lists.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_nodes.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap1.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap12.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap2.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap3.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap4.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap7.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-helpers2.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-rtis.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_decls.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_specs.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-evaluation.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_walk.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_scopes.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_meta.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_priv.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-types.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-flists.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_utils.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_assocs.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_decls.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_expr.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_inst.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_names.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_psl.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_stmts.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_utils.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-xrefs.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-nodes_gc.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-post_sems.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-prints.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-types.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-fcvt.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-errors.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_meta.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-hash.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/lists.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-parse_psl.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap5.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap6.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap9.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap8.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-chap14.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans-foreach_non_composite.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-build.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nfas-utils.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-rewrites.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-canon_psl.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-nodes_priv.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/flists.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-sem_types.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/grt-algos.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-subsets.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-prints.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-priorities.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/vhdl-elocations_meta.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/trans_analyzes.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-cse.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-disp_nfas.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-optimize.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/psl-qm.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb gnatmake -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz i686-linux-gnu-gnatbind-10 -aI../../src/gcc/vhdl -aOvhdl -E -x /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali i686-linux-gnu-gnatlink-10 /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now attribs.o vhdl/ortho-lang.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lmpc -lmpfr -lgmp -rdynamic -ldl -lz gnatmake -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now \ vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/vhdl/default_paths.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-dump.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-annotations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-expr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-objtypes.adb synth-objtypes.adb:28:04: warning: possible aliasing problem for type "Bound_Array_Acc" synth-objtypes.adb:28:04: warning: use -fno-strict-aliasing switch for references synth-objtypes.adb:28:04: warning: or use "pragma No_Strict_Aliasing (Bound_Array_Acc);" synth-objtypes.adb:31:04: warning: possible aliasing problem for type "Rec_El_Array_Acc" synth-objtypes.adb:31:04: warning: use -fno-strict-aliasing switch for references synth-objtypes.adb:31:04: warning: or use "pragma No_Strict_Aliasing (Rec_El_Array_Acc);" synth-objtypes.adb:34:04: warning: possible aliasing problem for type "Type_Acc" synth-objtypes.adb:34:04: warning: use -fno-strict-aliasing switch for references synth-objtypes.adb:34:04: warning: or use "pragma No_Strict_Aliasing (Type_Acc);" i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-values.adb synth-values.adb:29:04: warning: possible aliasing problem for type "Value_Acc" synth-values.adb:29:04: warning: use -fno-strict-aliasing switch for references synth-values.adb:29:04: warning: or use "pragma No_Strict_Aliasing (Value_Acc);" i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-insts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-values-debug.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-aggr.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-debugger.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-decls.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-heap.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-oper.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-stmts.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/areapools.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-files_operations.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_oper.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-static_proc.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb i686-linux-gnu-gcc-10 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb i686-linux-gnu-gnatbind-10 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali i686-linux-gnu-gnatlink-10 ghdl_gcc.ali -o ghdl --LINK=g++ -no-pie -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wmissing-format-attribute -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -static-libstdc++ -static-libgcc -Wl,-z,relro -Wl,-z,now vhdl/grt-cstdio.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a rm gcc.pod make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc' Checking multilib configuration for libgcc... mkdir -p -- x86_64-pc-linux-gnu/libgcc Configuring in x86_64-pc-linux-gnu/libgcc make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1' /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1' /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc /bin/sh ./libtool --tag=CXX --mode=compile g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/c -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -I ../../src/libcc1/../gcc/cp -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o libtool: compile: g++ -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o mv -f .deps/names.Tpo .deps/names.Plo mv -f .deps/callbacks.Tpo .deps/callbacks.Plo configure: creating cache ./config.cache checking build system type... mv -f .deps/marshall.Tpo .deps/marshall.Plo x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk checking for x86_64-pc-linux-gnu-ar... ar checking for x86_64-pc-linux-gnu-lipo... lipo checking for x86_64-pc-linux-gnu-nm... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm checking for x86_64-pc-linux-gnu-ranlib... ranlib checking for x86_64-pc-linux-gnu-strip... strip checking whether ln -s works... yes checking for x86_64-pc-linux-gnu-gcc... /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include accepts -g... yes checking for /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include option to accept ISO C89... mv -f .deps/connection.Tpo .deps/connection.Plo unsupported checking how to run the C preprocessor... mv -f .deps/findcomp.Tpo .deps/findcomp.Plo /lib/cpp checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... unknown checking for _LARGE_FILES value needed for large files... unknown checking size of double... 8 checking size of long double... 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... mv -f .deps/libcc1.Tpo .deps/libcc1.Plo yes checking for unistd.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for string.h... yes checking for strings.h... yes checking for memory.h... yes checking for sys/auxv.h... yes checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... no checking whether decimal floating point is supported... no checking whether fixed-point is supported... no checking whether the compiler is configured for setjmp/longjmp exceptions... no checking for CET support... no checking if the linker (/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes checking for target glibc version... 0.0 checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no checking if the assembler supports AVX... mv -f .deps/libcp1.Tpo .deps/libcp1.Plo /bin/sh ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /bin/sh ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/plugin libcc1plugin.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a yes checking for init priority support... yes libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crti.o /usr/lib/gcc/i686-linux-gnu/10/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/i686-linux-gnu/10 -L/usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu -L/usr/lib/gcc/i686-linux-gnu/10/../../../../lib -L/lib/i386-linux-gnu -L/lib/../lib -L/usr/lib/i386-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/i686-linux-gnu/10/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/i686-linux-gnu/10/crtendS.o /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crti.o /usr/lib/gcc/i686-linux-gnu/10/crtbeginS.o .libs/libcc1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/i686-linux-gnu/10 -L/usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu -L/usr/lib/gcc/i686-linux-gnu/10/../../../../lib -L/lib/i386-linux-gnu -L/lib/../lib -L/usr/lib/i386-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/i686-linux-gnu/10/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/i686-linux-gnu/10/crtendS.o /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 configure: updating cache ./config.cache configure: creating ./config.status libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) config.status: creating Makefile config.status: creating auto-target.h config.status: executing default commands make[4]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/x86_64-pc-linux-gnu/libgcc' # If this is the top-level multilib, build all the other ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c ln -s ../../../src/libgcc/unwind-generic.h unwind.h # multilibs. ln -s ../../../src/libgcc/config/i386/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/i386/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='../../../src/libgcc/config/i386/elf-lib.h ../../../src/libgcc/config/i386/value-unwind.h' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64_s.o -MT avx_savms64_s.o -MD -MP -MF avx_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64_s.o -MT avx_resms64_s.o -MD -MP -MF avx_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64x_s.o -MT avx_resms64x_s.o -MD -MP -MF avx_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64x.S /bin/sh ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_savms64f_s.o -MT avx_savms64f_s.o -MD -MP -MF avx_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_savms64f.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64f_s.o -MT avx_resms64f_s.o -MD -MP -MF avx_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64f.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o avx_resms64fx_s.o -MT avx_resms64fx_s.o -MD -MP -MF avx_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/avx_resms64fx.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64_s.o -MT sse_savms64_s.o -MD -MP -MF sse_savms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64_s.o -MT sse_resms64_s.o -MD -MP -MF sse_resms64_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64x_s.o -MT sse_resms64x_s.o -MD -MP -MF sse_resms64x_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64x.S echo timestamp > libgcc_tm.stamp /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_savms64f_s.o -MT sse_savms64f_s.o -MD -MP -MF sse_savms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_savms64f.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64f_s.o -MT sse_resms64f_s.o -MD -MP -MF sse_resms64f_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64f.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o sse_resms64fx_s.o -MT sse_resms64fx_s.o -MD -MP -MF sse_resms64fx_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/sse_resms64fx.S /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -c -xassembler-with-cpp ../../../src/libgcc/config/i386/morestack.S sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg avx_savms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64.visT /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg avx_resms64_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64.visT /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg avx_resms64x_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64x.visT /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg avx_savms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_savms64f.visT /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/nm -pg avx_resms64f_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > avx_resms64f.visT /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c mv -f avx_savms64.visT avx_savms64.vis mv -f avx_resms64.visT avx_resms64.vis mv -f avx_resms64x.visT avx_resms64x.vis /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c mv -f avx_savms64f.visT avx_savms64f.vis mv -f avx_resms64f.visT avx_resms64f.vis /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/generic-morestack.c:32: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-merge.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/xgcc -B/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/./gcc/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/include -isystem /usr/lib/ghdl/gcc/x86_64-pc-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/sys-include -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -O2 -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wno-error=format-diag -Wstrict-prototypes -Wmissing-prototypes -Wno-error=format-diag -Wold-style-definition -isystem ./include -fpic -mlong-double-80 -DUSE_ELF_SYMVER -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fpic -mlong-double-80 -DUSE_ELF_SYMVER -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -DHAVE_CC_TLS -DUSE_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c make[4]: *** [../../../src/libgcc/shared-object.mk:14: generic-morestack.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/generic-morestack-thread.c:27: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** Waiting for unfinished jobs.... make[4]: *** [Makefile:920: _gcov_merge_topn.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-merge.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** [../../../src/libgcc/shared-object.mk:14: generic-morestack-thread.o] Error 1 make[4]: *** [Makefile:920: _gcov_merge_ior.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-merge.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-merge.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** [Makefile:920: _gcov_merge_add.o] Error 1 make[4]: *** [Makefile:920: _gcov_merge_time_profile.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-profiler.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-profiler.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** [Makefile:922: _gcov_interval_profiler.o] Error 1 make[4]: *** [Makefile:922: _gcov_interval_profiler_atomic.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-profiler.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-profiler.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** [Makefile:922: _gcov_pow2_profiler.o] Error 1 make[4]: *** [Makefile:922: _gcov_pow2_profiler_atomic.o] Error 1 In file included from ../../../src/libgcc/../gcc/tsystem.h:87, from ../../../src/libgcc/libgcov.h:42, from ../../../src/libgcc/libgcov-profiler.c:26: /usr/include/stdio.h:27:10: fatal error: bits/libc-header-start.h: No such file or directory 27 | #include | ^~~~~~~~~~~~~~~~~~~~~~~~~~ compilation terminated. make[4]: *** [Makefile:922: _gcov_topn_values_profiler.o] Error 1 make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/x86_64-pc-linux-gnu/libgcc' make[3]: *** [Makefile:11419: all-target-libgcc] Error 2 make[3]: *** Waiting for unfinished jobs.... mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /bin/sh ./libtool --tag=CXX --mode=link g++ -W -Wall -fvisibility=hidden -g -O2 -fdebug-prefix-map=/build/reproducible-path/ghdl-1.0.0+dfsg=. -fstack-protector-strong -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' '-Wl,-z,relro' '-Wl,-z,now' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/x86_64-pc-linux-gnu/10.2.1/plugin libcp1plugin.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crti.o /usr/lib/gcc/i686-linux-gnu/10/crtbeginS.o .libs/libcp1plugin.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/i686-linux-gnu/10 -L/usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu -L/usr/lib/gcc/i686-linux-gnu/10/../../../../lib -L/lib/i386-linux-gnu -L/lib/../lib -L/usr/lib/i386-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/i686-linux-gnu/10/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/i686-linux-gnu/10/crtendS.o /usr/lib/gcc/i686-linux-gnu/10/../../../i386-linux-gnu/crtn.o -static-libstdc++ -static-libgcc -Wl,-z -Wl,relro -Wl,-z -Wl,now ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/libcc1' make[3]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild' make[2]: *** [Makefile:956: all] Error 2 make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild' make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' gnatgcc -c -g -fPIC -o jumps.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/config/jumps.c gnatgcc -c -g -fPIC -o times.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/config/times.c gnatgcc -c -g -fPIC -o grt-cstdio.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c gnatgcc -c -g -fPIC -o grt-cgnatrts.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c gnatgcc -c -g -fPIC -o grt-cvpi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c gnatgcc -c -g -fPIC -o grt-cdynload.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c gnatgcc -c -g -fPIC -o fstapi.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst gnatgcc -c -g -fPIC -o lz4.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/lz4.c gnatgcc -c -g -fPIC -o fastlz.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -c -aI/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -cargs -g -fPIC i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/ghdl_main.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-main.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-options.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_binding.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-std_logic_1164.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-types.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-astdio-vhdl.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-hooks.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stdio.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-change_generics.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-files.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-images.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-lib.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-modules.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-names.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-processes.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-shadow_ieee.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-signals.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stats.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-values.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-severity.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-strings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-file.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-c.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-callbacks.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avhpi_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_addr.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-errors_exec.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-table.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_utils.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-to_strings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fcvt.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_rti.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_tree.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-psl.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcd.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vcdz.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vital_annotate.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vpi.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-waves.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-disp_signals.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-threads.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-stack2.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-vstrings_io.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/grt-backtraces-impl.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-fst_api.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-rtis_types.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-wave_opt-design.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-zlib.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-sdf.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/version.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-avls.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-ghw.ads i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-unithread.adb i686-linux-gnu-gcc-10 -c -I./ -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -fPIC -I- /build/reproducible-path/ghdl-1.0.0+dfsg/src/grt/grt-backtraces-gcc.adb sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n i686-linux-gnu-gnatbind-10 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb i686-linux-gnu-gcc-10 -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -u -c -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt i686-linux-gnu-gcc-10 -c -I/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/ -g -fPIC -gnatec/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/main.adb sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/libgrt.a ar rcv lib/ghdl/gcc/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-cvpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-fcvt.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-backtraces.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-errors_exec.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./grt-main.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-cvpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/libgrt.a gnatgcc -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -O -Wall gnatgcc -g -o libghdlvpi.so vpi_thunk.o -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' make[2]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl" GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/std/v87/std-obj87.cf cd lib/ghdl/gcc/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd="/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl textio.s: Assembler messages: textio.s:360: Error: bad register name `%rbp' textio.s:363: Error: bad register name `%rsp' textio.s:365: Error: bad register name `%rip)' textio.s:368: Error: bad register name `%rip)' textio.s:369: Error: bad register name `%rip)' textio.s:370: Error: bad register name `%rip)' textio.s:375: Error: bad register name `%rip)' textio.s:376: Error: bad register name `%rip)' textio.s:377: Error: bad register name `%rip)' textio.s:381: Error: bad register name `%rbp' /build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc/gccbuild/gcc/ghdl: compilation error make[3]: *** [../../libraries/Makefile.inc:172: lib/ghdl/gcc/std/v87/std-obj87.cf] Error 1 make[3]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' make[2]: *** [Makefile:284: libs.vhdl.gcc] Error 2 make[2]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg/builddir/gcc' make[1]: *** [debian/rules:134: override_dh_auto_build] Error 2 make[1]: Leaving directory '/build/reproducible-path/ghdl-1.0.0+dfsg' make: *** [debian/rules:48: binary] Error 2 dpkg-buildpackage: error: debian/rules binary subprocess returned exit status 2 I: copying local configuration E: Failed autobuilding of package I: user script /srv/workspace/pbuilder/51659/tmp/hooks/C01_cleanup starting debug output: disk usage on i-capture-the-hostname at Fri Feb 14 23:13:39 UTC 2025 Filesystem Size Used Avail Use% Mounted on tmpfs 3.9G 0 3.9G 0% /dev/shm I: user script /srv/workspace/pbuilder/51659/tmp/hooks/C01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/51659 and its subdirectories