Sun Aug 6 22:18:50 UTC 2023 I: starting to build verilator/bullseye/amd64 on jenkins on '2023-08-06 22:18' Sun Aug 6 22:18:51 UTC 2023 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/amd64_17/5173/console.log Sun Aug 6 22:18:51 UTC 2023 I: Downloading source for bullseye/verilator=4.038-1 --2023-08-06 22:18:51-- http://cdn-fastly.deb.debian.org/debian/pool/main/v/verilator/verilator_4.038-1.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 1778 (1.7K) [text/prs.lines.tag] Saving to: ‘verilator_4.038-1.dsc’ 0K . 100% 63.5M=0s 2023-08-06 22:18:51 (63.5 MB/s) - ‘verilator_4.038-1.dsc’ saved [1778/1778] Sun Aug 6 22:18:51 UTC 2023 I: verilator_4.038-1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: verilator Binary: verilator Architecture: any Version: 4.038-1 Maintainer: Debian Electronics Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) Homepage: http://www.veripool.org/wiki/verilator Standards-Version: 4.5.0 Vcs-Browser: https://salsa.debian.org/electronics-team/verilator Vcs-Git: https://salsa.debian.org/electronics-team/verilator.git Build-Depends: debhelper-compat (= 13), flex, bison, libfl-dev, libsystemc-dev [amd64 arm64 i386 kfreebsd-any], python3 Package-List: verilator deb electronics optional arch=any Checksums-Sha1: d766ae68b90e667ee5ea41121ae9425c867e2c0a 2703465 verilator_4.038.orig.tar.gz 60f9332f2ad6c79eebe11de939b40a14e482aea6 9580 verilator_4.038-1.debian.tar.xz Checksums-Sha256: fa004493216034ac3e26b21b814441bd5801592f4f269c5a4672e3351d73b515 2703465 verilator_4.038.orig.tar.gz 9ab0fcd0923b316fcfd27cfa188a47b55dec8c1b7a8ac600006166a0829820dd 9580 verilator_4.038-1.debian.tar.xz Files: fd742da3b03635956dd62d746b3a0d5a 2703465 verilator_4.038.orig.tar.gz 8512e30a7e6ab48eb8259bcc3f8375b7 9580 verilator_4.038-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQFWBAEBCgBAFiEEggahliCEfm0N+LF2vBlqlO3dobcFAl9HSXMiHGFlbG1haG1v dWR5QHVzZXJzLnNvdXJjZWZvcmdlLm5ldAAKCRC8GWqU7d2htxdCB/9b6hAKrTkN XKU7VDBEtP4gGPUPQ3JVIh0oW4T2Avzr2kO6bi2tRHiB6Wcu1xQKnh0jeU697RFK MAFbu2gExr3jIw/TWLdzDEe+HsdmTCMilKLHaq9jQNgKE6o3B+XVz/DB6vQUzW7p En5CpPHQw+V+1tDOQLMtnTzQJhcrhTdkSuROlyfkAfjh3EJLo93sP35DQ0iD/tcL 9fHlXramQQ78BFH0QrV9pm32L0BaSeLMvobhFmd6jIPM79v5+UkJV/a0gLupxvur IPFEdoL2bIW8wezitBEcClLleXlQDPM4eh3f+YcYTLXb5cZYvnn44AbVCMJLpj9Y NCxr7Lj6hu3N =55Jp -----END PGP SIGNATURE----- Sun Aug 6 22:18:51 UTC 2023 I: Checking whether the package is not for us Sun Aug 6 22:18:52 UTC 2023 I: Starting 1st build on remote node ionos1-amd64.debian.net. Sun Aug 6 22:18:52 UTC 2023 I: Preparing to do remote build '1' on ionos1-amd64.debian.net. Sun Aug 6 22:26:31 UTC 2023 I: Deleting $TMPDIR on ionos1-amd64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Sun Aug 6 10:18:54 -12 2023 I: pbuilder-time-stamp: 1691360334 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bullseye-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [verilator_4.038-1.dsc] I: copying [./verilator_4.038.orig.tar.gz] I: copying [./verilator_4.038-1.debian.tar.xz] I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' gpgv: keyblock resource '/tmp/dpkg-verify-sig.YfrJWh17/trustedkeys.kbx': General error gpgv: Signature made Wed Aug 26 17:49:39 2020 -12 gpgv: using RSA key 8206A19620847E6D0DF8B176BC196A94EDDDA1B7 gpgv: issuer "aelmahmoudy@users.sourceforge.net" gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./verilator_4.038-1.dsc dpkg-source: info: extracting verilator in verilator-4.038 dpkg-source: info: unpacking verilator_4.038.orig.tar.gz dpkg-source: info: unpacking verilator_4.038-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying pkgconfig.diff dpkg-source: info: applying reproducible_build.diff dpkg-source: info: applying interpreter.patch dpkg-source: info: applying bison-3.7.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/557264/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='amd64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all,-fixfilepath parallel=15 ' DISTRIBUTION='bullseye' HOME='/root' HOST_ARCH='amd64' IFS=' ' INVOCATION_ID='2a13b00795a8469b853eb35cf66d8c8e' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='557264' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/pbuilderrc_khsY --distribution bullseye --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bullseye-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/b1 --logfile b1/build.log verilator_4.038-1.dsc' SUDO_GID='110' SUDO_UID='105' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://78.137.99.97:3128' I: uname -a Linux ionos1-amd64 6.1.0-10-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.38-2 (2023-07-27) x86_64 GNU/Linux I: ls -l /bin total 5476 -rwxr-xr-x 1 root root 1234376 Mar 27 2022 bash -rwxr-xr-x 3 root root 38984 Jul 20 2020 bunzip2 -rwxr-xr-x 3 root root 38984 Jul 20 2020 bzcat lrwxrwxrwx 1 root root 6 Jul 20 2020 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Jul 20 2020 bzdiff lrwxrwxrwx 1 root root 6 Jul 20 2020 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4877 Sep 4 2019 bzexe lrwxrwxrwx 1 root root 6 Jul 20 2020 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Jul 20 2020 bzgrep -rwxr-xr-x 3 root root 38984 Jul 20 2020 bzip2 -rwxr-xr-x 1 root root 18424 Jul 20 2020 bzip2recover lrwxrwxrwx 1 root root 6 Jul 20 2020 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Jul 20 2020 bzmore -rwxr-xr-x 1 root root 43936 Sep 23 2020 cat -rwxr-xr-x 1 root root 72672 Sep 23 2020 chgrp -rwxr-xr-x 1 root root 64448 Sep 23 2020 chmod -rwxr-xr-x 1 root root 72672 Sep 23 2020 chown -rwxr-xr-x 1 root root 151168 Sep 23 2020 cp -rwxr-xr-x 1 root root 125560 Dec 10 2020 dash -rwxr-xr-x 1 root root 113664 Sep 23 2020 date -rwxr-xr-x 1 root root 80968 Sep 23 2020 dd -rwxr-xr-x 1 root root 93936 Sep 23 2020 df -rwxr-xr-x 1 root root 147176 Sep 23 2020 dir -rwxr-xr-x 1 root root 84440 Jan 20 2022 dmesg lrwxrwxrwx 1 root root 8 Nov 6 2019 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Nov 6 2019 domainname -> hostname -rwxr-xr-x 1 root root 39712 Sep 23 2020 echo -rwxr-xr-x 1 root root 28 Jan 24 2023 egrep -rwxr-xr-x 1 root root 39680 Sep 23 2020 false -rwxr-xr-x 1 root root 28 Jan 24 2023 fgrep -rwxr-xr-x 1 root root 69032 Jan 20 2022 findmnt -rwsr-xr-x 1 root root 34896 Feb 26 2021 fusermount -rwxr-xr-x 1 root root 203072 Jan 24 2023 grep -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe -rwxr-xr-x 1 root root 98048 Apr 9 2022 gzip -rwxr-xr-x 1 root root 22600 Nov 6 2019 hostname -rwxr-xr-x 1 root root 72840 Sep 23 2020 ln -rwxr-xr-x 1 root root 56952 Feb 7 2020 login -rwxr-xr-x 1 root root 147176 Sep 23 2020 ls -rwxr-xr-x 1 root root 149736 Jan 20 2022 lsblk -rwxr-xr-x 1 root root 85184 Sep 23 2020 mkdir -rwxr-xr-x 1 root root 76896 Sep 23 2020 mknod -rwxr-xr-x 1 root root 48064 Sep 23 2020 mktemp -rwxr-xr-x 1 root root 59632 Jan 20 2022 more -rwsr-xr-x 1 root root 55528 Jan 20 2022 mount -rwxr-xr-x 1 root root 18664 Jan 20 2022 mountpoint -rwxr-xr-x 1 root root 147080 Sep 23 2020 mv lrwxrwxrwx 1 root root 8 Nov 6 2019 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Dec 16 2021 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 43872 Sep 23 2020 pwd lrwxrwxrwx 1 root root 4 Mar 27 2022 rbash -> bash -rwxr-xr-x 1 root root 52032 Sep 23 2020 readlink -rwxr-xr-x 1 root root 72704 Sep 23 2020 rm -rwxr-xr-x 1 root root 52032 Sep 23 2020 rmdir -rwxr-xr-x 1 root root 27472 Sep 27 2020 run-parts -rwxr-xr-x 1 root root 122224 Dec 22 2018 sed lrwxrwxrwx 1 root root 4 Jul 6 21:24 sh -> dash -rwxr-xr-x 1 root root 43808 Sep 23 2020 sleep -rwxr-xr-x 1 root root 84928 Sep 23 2020 stty -rwsr-xr-x 1 root root 71912 Jan 20 2022 su -rwxr-xr-x 1 root root 39744 Sep 23 2020 sync -rwxr-xr-x 1 root root 531928 Feb 16 2021 tar -rwxr-xr-x 1 root root 14456 Sep 27 2020 tempfile -rwxr-xr-x 1 root root 101408 Sep 23 2020 touch -rwxr-xr-x 1 root root 39680 Sep 23 2020 true -rwxr-xr-x 1 root root 14328 Feb 26 2021 ulockmgr_server -rwsr-xr-x 1 root root 35040 Jan 20 2022 umount -rwxr-xr-x 1 root root 39744 Sep 23 2020 uname -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress -rwxr-xr-x 1 root root 147176 Sep 23 2020 vdir -rwxr-xr-x 1 root root 63744 Jan 20 2022 wdctl lrwxrwxrwx 1 root root 8 Nov 6 2019 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp -rwxr-xr-x 1 root root 5898 Apr 9 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce -rwxr-xr-x 1 root root 8049 Apr 9 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew I: user script /srv/workspace/pbuilder/557264/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), flex, bison, libfl-dev, libsystemc-dev, python3 dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19707 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on libfl-dev; however: Package libfl-dev is not installed. pbuilder-satisfydepends-dummy depends on libsystemc-dev; however: Package libsystemc-dev is not installed. pbuilder-satisfydepends-dummy depends on python3; however: Package python3 is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} gettext{a} gettext-base{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libdebhelper-perl{a} libelf1{a} libexpat1{a} libfile-stripnondeterminism-perl{a} libfl-dev{a} libfl2{a} libicu67{a} libmagic-mgc{a} libmagic1{a} libmpdec3{a} libpipeline1{a} libpython3-stdlib{a} libpython3.9-minimal{a} libpython3.9-stdlib{a} libreadline8{a} libsigsegv2{a} libsub-override-perl{a} libsystemc{a} libsystemc-dev{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} media-types{a} po-debconf{a} python3{a} python3-minimal{a} python3.9{a} python3.9-minimal{a} readline-common{a} sensible-utils{a} The following packages are RECOMMENDED but will NOT be installed: ca-certificates curl libarchive-cpio-perl libltdl-dev libmail-sendmail-perl lynx wget 0 packages upgraded, 49 newly installed, 0 to remove and 0 not upgraded. Need to get 26.2 MB of archives. After unpacking 98.0 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bullseye/main amd64 bsdextrautils amd64 2.36.1-8+deb11u1 [145 kB] Get: 2 http://deb.debian.org/debian bullseye/main amd64 libuchardet0 amd64 0.0.7-1 [67.8 kB] Get: 3 http://deb.debian.org/debian bullseye/main amd64 groff-base amd64 1.22.4-6 [936 kB] Get: 4 http://deb.debian.org/debian bullseye/main amd64 libpipeline1 amd64 1.5.3-1 [34.3 kB] Get: 5 http://deb.debian.org/debian bullseye/main amd64 man-db amd64 2.9.4-2 [1354 kB] Get: 6 http://deb.debian.org/debian bullseye/main amd64 libsigsegv2 amd64 2.13-1 [34.8 kB] Get: 7 http://deb.debian.org/debian bullseye/main amd64 m4 amd64 1.4.18-5 [204 kB] Get: 8 http://deb.debian.org/debian bullseye/main amd64 flex amd64 2.6.4-8 [440 kB] Get: 9 http://deb.debian.org/debian bullseye/main amd64 libpython3.9-minimal amd64 3.9.2-1 [801 kB] Get: 10 http://deb.debian.org/debian bullseye/main amd64 libexpat1 amd64 2.2.10-2+deb11u5 [98.2 kB] Get: 11 http://deb.debian.org/debian bullseye/main amd64 python3.9-minimal amd64 3.9.2-1 [1955 kB] Get: 12 http://deb.debian.org/debian bullseye/main amd64 python3-minimal amd64 3.9.2-3 [38.2 kB] Get: 13 http://deb.debian.org/debian bullseye/main amd64 media-types all 4.0.0 [30.3 kB] Get: 14 http://deb.debian.org/debian bullseye/main amd64 libmpdec3 amd64 2.5.1-1 [87.7 kB] Get: 15 http://deb.debian.org/debian bullseye/main amd64 readline-common all 8.1-1 [73.7 kB] Get: 16 http://deb.debian.org/debian bullseye/main amd64 libreadline8 amd64 8.1-1 [169 kB] Get: 17 http://deb.debian.org/debian bullseye/main amd64 libpython3.9-stdlib amd64 3.9.2-1 [1684 kB] Get: 18 http://deb.debian.org/debian bullseye/main amd64 python3.9 amd64 3.9.2-1 [466 kB] Get: 19 http://deb.debian.org/debian bullseye/main amd64 libpython3-stdlib amd64 3.9.2-3 [21.4 kB] Get: 20 http://deb.debian.org/debian bullseye/main amd64 python3 amd64 3.9.2-3 [37.9 kB] Get: 21 http://deb.debian.org/debian bullseye/main amd64 sensible-utils all 0.0.14 [14.8 kB] Get: 22 http://deb.debian.org/debian bullseye/main amd64 libmagic-mgc amd64 1:5.39-3 [273 kB] Get: 23 http://deb.debian.org/debian bullseye/main amd64 libmagic1 amd64 1:5.39-3 [126 kB] Get: 24 http://deb.debian.org/debian bullseye/main amd64 file amd64 1:5.39-3 [69.1 kB] Get: 25 http://deb.debian.org/debian bullseye/main amd64 gettext-base amd64 0.21-4 [175 kB] Get: 26 http://deb.debian.org/debian bullseye/main amd64 autoconf all 2.69-14 [313 kB] Get: 27 http://deb.debian.org/debian bullseye/main amd64 autotools-dev all 20180224.1+nmu1 [77.1 kB] Get: 28 http://deb.debian.org/debian bullseye/main amd64 automake all 1:1.16.3-2 [814 kB] Get: 29 http://deb.debian.org/debian bullseye/main amd64 autopoint all 0.21-4 [510 kB] Get: 30 http://deb.debian.org/debian bullseye/main amd64 bison amd64 2:3.7.5+dfsg-1 [1104 kB] Get: 31 http://deb.debian.org/debian bullseye/main amd64 libdebhelper-perl all 13.3.4 [189 kB] Get: 32 http://deb.debian.org/debian bullseye/main amd64 libtool all 2.4.6-15 [513 kB] Get: 33 http://deb.debian.org/debian bullseye/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 34 http://deb.debian.org/debian bullseye/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 35 http://deb.debian.org/debian bullseye/main amd64 libsub-override-perl all 0.09-2 [10.2 kB] Get: 36 http://deb.debian.org/debian bullseye/main amd64 libfile-stripnondeterminism-perl all 1.12.0-1 [26.3 kB] Get: 37 http://deb.debian.org/debian bullseye/main amd64 dh-strip-nondeterminism all 1.12.0-1 [15.4 kB] Get: 38 http://deb.debian.org/debian bullseye/main amd64 libelf1 amd64 0.183-1 [165 kB] Get: 39 http://deb.debian.org/debian bullseye/main amd64 dwz amd64 0.13+20210201-1 [175 kB] Get: 40 http://deb.debian.org/debian bullseye/main amd64 libicu67 amd64 67.1-7 [8622 kB] Get: 41 http://deb.debian.org/debian bullseye/main amd64 libxml2 amd64 2.9.10+dfsg-6.7+deb11u4 [693 kB] Get: 42 http://deb.debian.org/debian bullseye/main amd64 gettext amd64 0.21-4 [1311 kB] Get: 43 http://deb.debian.org/debian bullseye/main amd64 intltool-debian all 0.35.0+20060710.5 [26.8 kB] Get: 44 http://deb.debian.org/debian bullseye/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 45 http://deb.debian.org/debian bullseye/main amd64 debhelper all 13.3.4 [1049 kB] Get: 46 http://deb.debian.org/debian bullseye/main amd64 libfl2 amd64 2.6.4-8 [103 kB] Get: 47 http://deb.debian.org/debian bullseye/main amd64 libfl-dev amd64 2.6.4-8 [104 kB] Get: 48 http://deb.debian.org/debian bullseye/main amd64 libsystemc amd64 2.3.3-5 [446 kB] Get: 49 http://deb.debian.org/debian bullseye/main amd64 libsystemc-dev amd64 2.3.3-5 [241 kB] Fetched 26.2 MB in 1s (47.7 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package bsdextrautils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19707 files and directories currently installed.) Preparing to unpack .../00-bsdextrautils_2.36.1-8+deb11u1_amd64.deb ... Unpacking bsdextrautils (2.36.1-8+deb11u1) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../01-libuchardet0_0.0.7-1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../02-groff-base_1.22.4-6_amd64.deb ... Unpacking groff-base (1.22.4-6) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../03-libpipeline1_1.5.3-1_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.3-1) ... Selecting previously unselected package man-db. Preparing to unpack .../04-man-db_2.9.4-2_amd64.deb ... Unpacking man-db (2.9.4-2) ... Selecting previously unselected package libsigsegv2:amd64. Preparing to unpack .../05-libsigsegv2_2.13-1_amd64.deb ... Unpacking libsigsegv2:amd64 (2.13-1) ... Selecting previously unselected package m4. Preparing to unpack .../06-m4_1.4.18-5_amd64.deb ... Unpacking m4 (1.4.18-5) ... Selecting previously unselected package flex. Preparing to unpack .../07-flex_2.6.4-8_amd64.deb ... Unpacking flex (2.6.4-8) ... Selecting previously unselected package libpython3.9-minimal:amd64. Preparing to unpack .../08-libpython3.9-minimal_3.9.2-1_amd64.deb ... Unpacking libpython3.9-minimal:amd64 (3.9.2-1) ... Selecting previously unselected package libexpat1:amd64. Preparing to unpack .../09-libexpat1_2.2.10-2+deb11u5_amd64.deb ... Unpacking libexpat1:amd64 (2.2.10-2+deb11u5) ... Selecting previously unselected package python3.9-minimal. Preparing to unpack .../10-python3.9-minimal_3.9.2-1_amd64.deb ... Unpacking python3.9-minimal (3.9.2-1) ... Setting up libpython3.9-minimal:amd64 (3.9.2-1) ... Setting up libexpat1:amd64 (2.2.10-2+deb11u5) ... Setting up python3.9-minimal (3.9.2-1) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20741 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.9.2-3_amd64.deb ... Unpacking python3-minimal (3.9.2-3) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_4.0.0_all.deb ... Unpacking media-types (4.0.0) ... Selecting previously unselected package libmpdec3:amd64. Preparing to unpack .../2-libmpdec3_2.5.1-1_amd64.deb ... Unpacking libmpdec3:amd64 (2.5.1-1) ... Selecting previously unselected package readline-common. Preparing to unpack .../3-readline-common_8.1-1_all.deb ... Unpacking readline-common (8.1-1) ... Selecting previously unselected package libreadline8:amd64. Preparing to unpack .../4-libreadline8_8.1-1_amd64.deb ... Unpacking libreadline8:amd64 (8.1-1) ... Selecting previously unselected package libpython3.9-stdlib:amd64. Preparing to unpack .../5-libpython3.9-stdlib_3.9.2-1_amd64.deb ... Unpacking libpython3.9-stdlib:amd64 (3.9.2-1) ... Selecting previously unselected package python3.9. Preparing to unpack .../6-python3.9_3.9.2-1_amd64.deb ... Unpacking python3.9 (3.9.2-1) ... Selecting previously unselected package libpython3-stdlib:amd64. Preparing to unpack .../7-libpython3-stdlib_3.9.2-3_amd64.deb ... Unpacking libpython3-stdlib:amd64 (3.9.2-3) ... Setting up python3-minimal (3.9.2-3) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 21162 files and directories currently installed.) Preparing to unpack .../00-python3_3.9.2-3_amd64.deb ... Unpacking python3 (3.9.2-3) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../01-sensible-utils_0.0.14_all.deb ... Unpacking sensible-utils (0.0.14) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../02-libmagic-mgc_1%3a5.39-3_amd64.deb ... Unpacking libmagic-mgc (1:5.39-3) ... Selecting previously unselected package libmagic1:amd64. Preparing to unpack .../03-libmagic1_1%3a5.39-3_amd64.deb ... Unpacking libmagic1:amd64 (1:5.39-3) ... Selecting previously unselected package file. Preparing to unpack .../04-file_1%3a5.39-3_amd64.deb ... Unpacking file (1:5.39-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../05-gettext-base_0.21-4_amd64.deb ... Unpacking gettext-base (0.21-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../06-autoconf_2.69-14_all.deb ... Unpacking autoconf (2.69-14) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../07-autotools-dev_20180224.1+nmu1_all.deb ... Unpacking autotools-dev (20180224.1+nmu1) ... Selecting previously unselected package automake. Preparing to unpack .../08-automake_1%3a1.16.3-2_all.deb ... Unpacking automake (1:1.16.3-2) ... Selecting previously unselected package autopoint. Preparing to unpack .../09-autopoint_0.21-4_all.deb ... Unpacking autopoint (0.21-4) ... Selecting previously unselected package bison. Preparing to unpack .../10-bison_2%3a3.7.5+dfsg-1_amd64.deb ... Unpacking bison (2:3.7.5+dfsg-1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../11-libdebhelper-perl_13.3.4_all.deb ... Unpacking libdebhelper-perl (13.3.4) ... Selecting previously unselected package libtool. Preparing to unpack .../12-libtool_2.4.6-15_all.deb ... Unpacking libtool (2.4.6-15) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../13-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../14-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../15-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../16-libfile-stripnondeterminism-perl_1.12.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.12.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../17-dh-strip-nondeterminism_1.12.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.12.0-1) ... Selecting previously unselected package libelf1:amd64. Preparing to unpack .../18-libelf1_0.183-1_amd64.deb ... Unpacking libelf1:amd64 (0.183-1) ... Selecting previously unselected package dwz. Preparing to unpack .../19-dwz_0.13+20210201-1_amd64.deb ... Unpacking dwz (0.13+20210201-1) ... Selecting previously unselected package libicu67:amd64. Preparing to unpack .../20-libicu67_67.1-7_amd64.deb ... Unpacking libicu67:amd64 (67.1-7) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../21-libxml2_2.9.10+dfsg-6.7+deb11u4_amd64.deb ... Unpacking libxml2:amd64 (2.9.10+dfsg-6.7+deb11u4) ... Selecting previously unselected package gettext. Preparing to unpack .../22-gettext_0.21-4_amd64.deb ... Unpacking gettext (0.21-4) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../23-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../24-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../25-debhelper_13.3.4_all.deb ... Unpacking debhelper (13.3.4) ... Selecting previously unselected package libfl2:amd64. Preparing to unpack .../26-libfl2_2.6.4-8_amd64.deb ... Unpacking libfl2:amd64 (2.6.4-8) ... Selecting previously unselected package libfl-dev:amd64. Preparing to unpack .../27-libfl-dev_2.6.4-8_amd64.deb ... Unpacking libfl-dev:amd64 (2.6.4-8) ... Selecting previously unselected package libsystemc:amd64. Preparing to unpack .../28-libsystemc_2.3.3-5_amd64.deb ... Unpacking libsystemc:amd64 (2.3.3-5) ... Selecting previously unselected package libsystemc-dev:amd64. Preparing to unpack .../29-libsystemc-dev_2.3.3-5_amd64.deb ... Unpacking libsystemc-dev:amd64 (2.3.3-5) ... Setting up media-types (4.0.0) ... Setting up libpipeline1:amd64 (1.5.3-1) ... Setting up bsdextrautils (2.36.1-8+deb11u1) ... update-alternatives: using /usr/bin/write.ul to provide /usr/bin/write (write) in auto mode Setting up libicu67:amd64 (67.1-7) ... Setting up libmagic-mgc (1:5.39-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.3.4) ... Setting up libmagic1:amd64 (1:5.39-3) ... Setting up gettext-base (0.21-4) ... Setting up file (1:5.39-3) ... Setting up libsystemc:amd64 (2.3.3-5) ... Setting up autotools-dev (20180224.1+nmu1) ... Setting up libsystemc-dev:amd64 (2.3.3-5) ... Setting up libsigsegv2:amd64 (2.13-1) ... Setting up autopoint (0.21-4) ... Setting up libfl2:amd64 (2.6.4-8) ... Setting up sensible-utils (0.0.14) ... Setting up libuchardet0:amd64 (0.0.7-1) ... Setting up libmpdec3:amd64 (2.5.1-1) ... Setting up libsub-override-perl (0.09-2) ... Setting up libelf1:amd64 (0.183-1) ... Setting up readline-common (8.1-1) ... Setting up libxml2:amd64 (2.9.10+dfsg-6.7+deb11u4) ... Setting up libfile-stripnondeterminism-perl (1.12.0-1) ... Setting up gettext (0.21-4) ... Setting up libtool (2.4.6-15) ... Setting up libreadline8:amd64 (8.1-1) ... Setting up m4 (1.4.18-5) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up autoconf (2.69-14) ... Setting up dh-strip-nondeterminism (1.12.0-1) ... Setting up dwz (0.13+20210201-1) ... Setting up groff-base (1.22.4-6) ... Setting up bison (2:3.7.5+dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up libpython3.9-stdlib:amd64 (3.9.2-1) ... Setting up libpython3-stdlib:amd64 (3.9.2-3) ... Setting up automake (1:1.16.3-2) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up flex (2.6.4-8) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.9.4-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libfl-dev:amd64 (2.6.4-8) ... Setting up dh-autoreconf (20) ... Setting up python3.9 (3.9.2-1) ... Setting up debhelper (13.3.4) ... Setting up python3 (3.9.2-3) ... Processing triggers for libc-bin (2.31-13+deb11u6) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/verilator-4.038/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../verilator_4.038-1_source.changes dpkg-buildpackage: info: source package verilator dpkg-buildpackage: info: source version 4.038-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by أحمد المحمودي (Ahmed El-Mahmoudy) dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 debian/rules clean dh clean dh_clean debian/rules binary dh binary dh_update_autotools_config debian/rules override_dh_auto_configure make[1]: Entering directory '/build/verilator-4.038' dh_auto_configure -- --prefix=/usr LDFLAGS="-Wl,-z,relro -Wl,--as-needed" ./configure --build=x86_64-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/x86_64-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking --prefix=/usr "LDFLAGS=-Wl,-z,relro -Wl,--as-needed" configuring for Verilator 4.038 2020-07-11 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking whether allow pre-C++11... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... none needed checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (Debian 10.2.1-6) 10.2.1 20210110 checking that C++ compiler can compile simple program... yes checking for perl... /usr/bin/perl checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.7.5 checking for ccache... no checking how to run the C++ preprocessor... g++ -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -std=gnu++14... yes checking whether g++ accepts -std=c++03... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... yes checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports Verilated threads... yes checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... yes configure: creating ./config.status config.status: creating Makefile config.status: creating docs/Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_build.h Now type 'make' (or sometimes 'gmake') to build Verilator. make[1]: Leaving directory '/build/verilator-4.038' dh_auto_build make -j15 make[1]: Entering directory '/build/verilator-4.038' pod2man bin/verilator verilator.1 ------------------------------------------------------------ pod2man bin/verilator_coverage verilator_coverage.1 pod2man bin/verilator_gantt verilator_gantt.1 pod2man bin/verilator_profcfunc verilator_profcfunc.1 making verilator in src make -C src make[2]: Entering directory '/build/verilator-4.038/src' mkdir -p obj_dbg mkdir -p obj_opt make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. /usr/bin/perl ../astgen -I.. --classes make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' /usr/bin/perl ../vlcovgen --srcdir .. /usr/bin/perl ../astgen -I.. --classes touch vlcovgen.d make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y edit ../verilog.y V3ParseBison_pretmp.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/src/obj_opt' g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-z,relro -Wl,-z,relro -Wl,--as-needed -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o /usr/bin/perl ../astgen -I.. V3Const.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp -o V3Active.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp -o V3EmitC.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp -o V3ActiveTop.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp -o V3GraphDfa.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp -o V3Assert.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp -o V3Hashed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp -o V3AssertPre.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp -o V3Ast.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp -o V3AstNodes.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp -o V3Begin.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp -o V3Branch.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp -o V3Broken.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp -o V3CCtors.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CUse.cpp -o V3CUse.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp -o V3Case.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp -o V3Cast.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp -o V3Cdc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp -o V3Changed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Class.cpp -o V3Class.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp -o V3Clean.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp -o V3Clock.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp -o V3Combine.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp -o V3Config.o /usr/bin/perl ../astgen -I.. V3Const.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp -o V3Coverage.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp -o V3Dead.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp -o V3Delayed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp -o V3Depth.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp -o V3DepthBlock.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp -o V3Descope.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp -o V3EmitC.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMake.cpp -o V3EmitCMake.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCMain.cpp -o V3EmitCMain.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp -o V3EmitMk.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp -o V3EmitV.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp -o V3EmitXml.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp -o V3Expand.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp -o V3File.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp -o V3Gate.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp -o V3GenClk.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Global.cpp -o V3Global.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp -o V3GraphDfa.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp -o V3Hashed.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp -o V3Inline.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp -o V3Inst.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp -o V3InstrCount.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp -o V3Life.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp -o V3LifePost.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp -o V3LinkCells.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp -o V3LinkDot.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp -o V3LinkJump.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkInc.cpp -o V3LinkInc.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp -o V3LinkLValue.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp -o V3LinkLevel.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp -o V3LinkParse.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp -o V3LinkResolve.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp -o V3Localize.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3MergeCond.cpp -o V3MergeCond.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp -o V3Name.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp -o V3Number.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp -o V3Options.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp -o V3Order.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp -o V3Param.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp -o V3Partition.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o Linking ../../bin/verilator_bin... g++ -Wl,-z,relro -Wl,-z,relro -Wl,--as-needed -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitCMake.o V3EmitCMain.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp -o V3Premit.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ProtectLib.cpp -o V3ProtectLib.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp -o V3Reloop.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp -o V3Scope.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp -o V3Scoreboard.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp -o V3Slice.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp -o V3Split.o make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp -o V3SplitAs.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitVar.cpp -o V3SplitVar.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp -o V3Stats.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp -o V3StatsReport.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp -o V3Subst.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp -o V3Table.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp -o V3Task.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp -o V3Trace.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp -o V3TraceDecl.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp -o V3Tristate.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp -o V3TSP.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp -o V3Undriven.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp -o V3Unknown.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp -o V3Unroll.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp -o V3Width.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp -o V3WidthSel.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o Linking ../../bin/verilator_bin_dbg... g++ -Wl,-z,relro -Wl,-z,relro -Wl,--as-needed -static-libgcc -static-libstdc++ -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitCMake.o V3EmitCMain.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Global.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkInc.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3ProtectLib.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Waiver.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lpthread -lm make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[2]: Leaving directory '/build/verilator-4.038/src' Build complete! Now type 'make test' to test. make[1]: Leaving directory '/build/verilator-4.038' dh_auto_test make -j15 test VERBOSE=1 make[1]: Entering directory '/build/verilator-4.038' ------------------------------------------------------------ making verilator in src make -C src make[2]: Entering directory '/build/verilator-4.038/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/src/obj_opt' make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[2]: Leaving directory '/build/verilator-4.038/src' test_regress/t/t_a1_first_cc.pl for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[2]: Entering directory '/build/verilator-4.038/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /build/verilator-4.038/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[3]: Entering directory '/build/verilator-4.038/examples/make_hello_c' make[3]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated.o /build/verilator-4.038/include/verilated.cpp /usr/bin/perl /build/verilator-4.038/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o Vtop__ALL.o Vtop__ALL.cpp ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: %Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad Copyright 2003-2020 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 1 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /build/verilator-4.038/test_regress/.. VERILATOR_BIN = Features (based on environment or compiled-in support): SystemC found = 1 perl /build/verilator-4.038/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc -OD --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad make -C obj_vlt/t_a1_first_cc -f /build/verilator-4.038/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/build/verilator-4.038/test_regress/obj_vlt/t_a1_first_cc' make[2]: Entering directory '/build/verilator-4.038/test_regress' make[2]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp ar -cr Vtop__ALL.a Vtop__ALL.o ranlib Vtop__ALL.a g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated.o /build/verilator-4.038/test_regress/../include/verilated.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o verilated_vcd_c.o /build/verilator-4.038/test_regress/../include/verilated_vcd_c.cpp g++ -Wl,-z,relro sim_main.o verilated.o Vtop__ALL.a -o Vtop make[3]: Leaving directory '/build/verilator-4.038/examples/make_hello_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:11: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: Also see the EXAMPLE section in the verilator manpage/document. make[2]: Leaving directory '/build/verilator-4.038/examples/make_hello_c' make[2]: Entering directory '/build/verilator-4.038/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[2]: Leaving directory '/build/verilator-4.038/examples/make_hello_sc' make[2]: Entering directory '/build/verilator-4.038/examples/cmake_hello_c' %Skip: CMake has not been found make[2]: Leaving directory '/build/verilator-4.038/examples/cmake_hello_c' make[2]: Entering directory '/build/verilator-4.038/examples/cmake_hello_sc' %Skip: CMake has not been found make[2]: Leaving directory '/build/verilator-4.038/examples/cmake_hello_sc' make[2]: Entering directory '/build/verilator-4.038/examples/cmake_protect_lib' %Skip: CMake has not been found make[2]: Leaving directory '/build/verilator-4.038/examples/cmake_protect_lib' make[2]: Entering directory '/build/verilator-4.038/examples/cmake_tracing_c' %Skip: CMake has not been found make[2]: Leaving directory '/build/verilator-4.038/examples/cmake_tracing_c' make[2]: Entering directory '/build/verilator-4.038/examples/cmake_tracing_sc' %Skip: CMake has not been found make[2]: Leaving directory '/build/verilator-4.038/examples/cmake_tracing_sc' make[2]: Entering directory '/build/verilator-4.038/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /build/verilator-4.038/bin/verilator -cc -Os -x-assign 0 -Wall --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[3]: Entering directory '/build/verilator-4.038/examples/make_protect_lib' make[3]: warning: -j4 forced in submake: resetting jobserver mode. /usr/bin/perl /build/verilator-4.038/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl__Slow.cpp Vsecret_impl_PS3cVK.cpp > Vsecret_impl__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -Os -c -o verilated.o /build/verilator-4.038/include/verilated.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -Os -c -o verilated_secret.o verilated_secret.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -Os -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp /usr/bin/perl /build/verilator-4.038/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc__Trace.cpp Vt_a1_first_cc__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DT_A1_FIRST_CC -O0 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp ar -cr Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o ranlib Vt_a1_first_cc__ALL.a g++ -Wl,-z,relro Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o Vt_a1_first_cc__ALL.a -o Vt_a1_first_cc make[2]: Leaving directory '/build/verilator-4.038/test_regress/obj_vlt/t_a1_first_cc' driver: Leaving directory '/build/verilator-4.038/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:07 ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:07 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Unsup 0 Time 0:07 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: %Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Unsup 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== perl /build/verilator-4.038/test_regress/../bin/verilator --prefix Vt_a2_first_sc ../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a2_first_sc -OD --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree -sc --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a2_first_sc t/t_a1_first_cc.v > obj_vlt/t_a2_first_sc/vlt_compile.log sh: 1: gdb: not found -Info: --gdbbt ignored: gdb doesn't seem to be working Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad Starting Verilator 4.038 2020-07-11 rev v4.036-114-g0cd4a57ad make -C obj_vlt/t_a2_first_sc -f /build/verilator-4.038/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a2_first_sc TEST_OBJ_DIR=obj_vlt/t_a2_first_sc CPPFLAGS_DRIVER=-DT_A2_FIRST_SC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_gcc.log driver: Entering directory '/build/verilator-4.038/test_regress/obj_vlt/t_a2_first_sc' make[2]: Entering directory '/build/verilator-4.038/test_regress' make[2]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o Vt_a2_first_sc__main.o ../../obj_vlt/t_a2_first_sc/Vt_a2_first_sc__main.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o verilated.o /build/verilator-4.038/test_regress/../include/verilated.cpp ar rc libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_secret.o g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -fPIC -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_secret.o make[3]: Leaving directory '/build/verilator-4.038/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /build/verilator-4.038/bin/verilator -cc -Os -x-assign 0 -Wall --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[3]: Entering directory '/build/verilator-4.038/examples/make_protect_lib' make[3]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated.o /build/verilator-4.038/include/verilated.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated_dpi.o /build/verilator-4.038/include/verilated_dpi.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o verilated_vcd_c.o /build/verilator-4.038/include/verilated_vcd_c.cpp /usr/bin/perl /build/verilator-4.038/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop__Dpi.cpp Vtop__Trace.cpp Vtop__Slow.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -Os -c -o Vtop__ALL.o Vtop__ALL.cpp ar -cr Vtop__ALL.a Vtop__ALL.o ranlib Vtop__ALL.a g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o verilated_vcd_c.o /build/verilator-4.038/test_regress/../include/verilated_vcd_c.cpp g++ -Wl,-z,relro sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -o Vtop make[3]: Leaving directory '/build/verilator-4.038/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=355697631 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:31: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[2]: Leaving directory '/build/verilator-4.038/examples/make_protect_lib' make[2]: Entering directory '/build/verilator-4.038/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /build/verilator-4.038/bin/verilator -cc --exe -Os -x-assign 0 -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o verilated_vcd_sc.o /build/verilator-4.038/test_regress/../include/verilated_vcd_sc.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.038/examples/make_tracing_c' make[3]: warning: -j0 forced in submake: resetting jobserver mode. g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated.o /build/verilator-4.038/include/verilated.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_cov.o /build/verilator-4.038/include/verilated_cov.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -c -o verilated_vcd_c.o /build/verilator-4.038/include/verilated_vcd_c.cpp /usr/bin/perl /build/verilator-4.038/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop__Trace.cpp Vtop__Slow.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/include -I/build/verilator-4.038/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o Vtop__ALL.o Vtop__ALL.cpp /usr/bin/perl /build/verilator-4.038/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a2_first_sc.cpp Vt_a2_first_sc__Trace.cpp Vt_a2_first_sc__Slow.cpp Vt_a2_first_sc__Syms.cpp Vt_a2_first_sc__Trace__Slow.cpp > Vt_a2_first_sc__ALL.cpp g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.038/test_regress/../include -I/build/verilator-4.038/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a2_first_sc -DVM_PREFIX=Vt_a2_first_sc -DVM_PREFIX_INCLUDE="" -DT_A2_FIRST_SC -O0 -c -o Vt_a2_first_sc__ALL.o Vt_a2_first_sc__ALL.cpp ar -cr Vt_a2_first_sc__ALL.a Vt_a2_first_sc__ALL.o ranlib Vt_a2_first_sc__ALL.a g++ -Wl,-z,relro Vt_a2_first_sc__main.o verilated.o verilated_vcd_c.o verilated_vcd_sc.o Vt_a2_first_sc__ALL.a -lsystemc -o Vt_a2_first_sc make[2]: Leaving directory '/build/verilator-4.038/test_regress/obj_vlt/t_a2_first_sc' driver: Leaving directory '/build/verilator-4.038/test_regress/obj_vlt/t_a2_first_sc' obj_vlt/t_a2_first_sc/Vt_a2_first_sc > obj_vlt/t_a2_first_sc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a2_first_sc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:15 ==SUMMARY: Passed 1 Failed 0 Unsup 0 Time 0:15 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Unsup 0 Time 0:15 ar -cr Vtop__ALL.a Vtop__ALL.o ranlib Vtop__ALL.a g++ -Wl,-z,relro sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o Vtop__ALL.a -o Vtop make[3]: Leaving directory '/build/verilator-4.038/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /build/verilator-4.038/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/31) 6.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[2]: Leaving directory '/build/verilator-4.038/examples/make_tracing_c' make[2]: Entering directory '/build/verilator-4.038/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[2]: Leaving directory '/build/verilator-4.038/examples/make_tracing_sc' make[2]: Entering directory '/build/verilator-4.038/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[2]: Leaving directory '/build/verilator-4.038/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make[1]: Leaving directory '/build/verilator-4.038' create-stamp debian/debhelper-build-stamp dh_prep dh_auto_install make -j15 install DESTDIR=/build/verilator-4.038/debian/verilator AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/build/verilator-4.038' /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/bin ------------------------------------------------------------ /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/man/man1 /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/include/gtkwave making verilator in src make -C src mkdir /build/verilator-4.038/debian/verilator/usr make[2]: Entering directory '/build/verilator-4.038/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial Installed binaries to /build/verilator-4.038/debian/verilator/usr/bin/verilator mkdir /build/verilator-4.038/debian/verilator/usr make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial mkdir /build/verilator-4.038/debian/verilator/usr make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. Installed man to /build/verilator-4.038/debian/verilator/usr/share/man/man1 mkdir /build/verilator-4.038/debian/verilator/usr/bin mkdir: cannot create directory '/build/verilator-4.038/debian/verilator/usr': File exists mkdir /build/verilator-4.038/debian/verilator/usr/share make[3]: Entering directory '/build/verilator-4.038/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. mkdir: Installed examples to /build/verilator-4.038/debian/verilator/usr/share/verilator/examples cannot create directory '/build/verilator-4.038/debian/verilator/usr': File exists ( cd ./bin ; /usr/bin/install -c verilator /build/verilator-4.038/debian/verilator/usr/bin/verilator ) mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator mkdir /build/verilator-4.038/debian/verilator/usr/share/man mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/include For documentation see 'man verilator' or 'verilator --help' mkdir /build/verilator-4.038/debian/verilator/usr/share/man/man1 For forums and to report bugs see https://verilator.org mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/include/gtkwave for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.038/debian/verilator/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/include/vltstd ( cd ./bin ; /usr/bin/install -c verilator_coverage /build/verilator-4.038/debian/verilator/usr/bin/verilator_coverage ) make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj ( cd ./bin ; /usr/bin/install -c verilator_gantt /build/verilator-4.038/debian/verilator/usr/bin/verilator_gantt ) mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.038/debian/verilator/usr/share/verilator/$p; \ done ( cd ./bin ; /usr/bin/install -c verilator_profcfunc /build/verilator-4.038/debian/verilator/usr/bin/verilator_profcfunc ) make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj ( cd bin ; /usr/bin/install -c verilator_bin /build/verilator-4.038/debian/verilator/usr/bin/verilator_bin ) make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' cd . \ ; for p in include/*.[chv]* include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.038/debian/verilator/usr/share/verilator/$p; \ done Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[3]: Entering directory '/build/verilator-4.038/src/obj_dbg' Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.038/src/obj_dbg' make[3]: Entering directory '/build/verilator-4.038/src/obj_opt' Compile flags: g++ -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/verilator-4.038=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wdate-time -D_FORTIFY_SOURCE=2 -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.038/src/obj_opt' make[2]: Leaving directory '/build/verilator-4.038/src' /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_hello_c mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_hello_sc mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_tracing_c mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_tracing_sc mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_protect_lib mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_hello_c mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_hello_sc mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_tracing_c mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_protect_lib mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/xml_py mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/Makefile* examples/*/CMakeLists.txt ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.038/debian/verilator/usr/share/verilator/$p; \ done ( cd bin ; /usr/bin/install -c verilator_bin_dbg /build/verilator-4.038/debian/verilator/usr/bin/verilator_bin_dbg ) /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/pkgconfig mkdir /build/verilator-4.038/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator.pc /build/verilator-4.038/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator-config.cmake /build/verilator-4.038/debian/verilator/usr/share/verilator /usr/bin/install -c -m 644 verilator-config-version.cmake /build/verilator-4.038/debian/verilator/usr/share/verilator ( cd bin ; /usr/bin/install -c verilator_coverage_bin_dbg /build/verilator-4.038/debian/verilator/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /build/verilator-4.038/debian/verilator/usr/share/verilator/bin mkdir /build/verilator-4.038/debian/verilator/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -c verilator_includer /build/verilator-4.038/debian/verilator/usr/share/verilator/bin/verilator_includer ) make[1]: Leaving directory '/build/verilator-4.038' dh_installdocs dh_installchangelogs debian/rules override_dh_installexamples make[1]: Entering directory '/build/verilator-4.038' mv debian/verilator/usr/share/verilator/examples debian/verilator/usr/share/doc/verilator/ make[1]: Leaving directory '/build/verilator-4.038' dh_installman dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/build/verilator-4.038' dh_compress --exclude=examples make[1]: Leaving directory '/build/verilator-4.038' dh_fixperms dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dpkg-gencontrol: warning: package verilator: substitution variable ${perl:Depends} unused, but is defined dpkg-gencontrol: warning: package verilator: substitution variable ${perl:Depends} unused, but is defined dh_md5sums dh_builddeb dpkg-deb: building package 'verilator' in '../verilator_4.038-1_amd64.deb'. dpkg-deb: building package 'verilator-dbgsym' in '../verilator-dbgsym_4.038-1_amd64.deb'. dpkg-genbuildinfo --build=binary dpkg-genchanges --build=binary >../verilator_4.038-1_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/557264 and its subdirectories I: Current time: Sun Aug 6 10:26:28 -12 2023 I: pbuilder-time-stamp: 1691360788 Sun Aug 6 22:26:31 UTC 2023 I: 1st build successful. Starting 2nd build on remote node ionos5-amd64.debian.net. Sun Aug 6 22:26:31 UTC 2023 I: Preparing to do remote build '2' on ionos5-amd64.debian.net. Sun Aug 6 22:34:16 UTC 2023 I: Deleting $TMPDIR on ionos5-amd64.debian.net. Sun Aug 6 22:34:16 UTC 2023 I: verilator_4.038-1_amd64.changes: Format: 1.8 Date: Thu, 27 Aug 2020 07:31:46 +0200 Source: verilator Binary: verilator verilator-dbgsym Architecture: amd64 Version: 4.038-1 Distribution: unstable Urgency: medium Maintainer: Debian Electronics Team Changed-By: أحمد المحمودي (Ahmed El-Mahmoudy) Description: verilator - fast free Verilog simulator Closes: 962855 966909 Changes: verilator (4.038-1) unstable; urgency=medium . * New upstream version 4.038 + Fix test suite failure on 32-bit archs. (Closes: #962855) * Refresh patches * Add bison-3.7.patch to fix build problem with bison 3.7 (Closes: #966909) Checksums-Sha1: fff9835ec584f7c292342df99638eb1bd88262c2 82591428 verilator-dbgsym_4.038-1_amd64.deb dc09294e290ea5bc12a7303108f34aaa5aba8b26 5853 verilator_4.038-1_amd64.buildinfo 00d3bc4a22b3cd6d2d4add8b334caf0caa1b3491 4740548 verilator_4.038-1_amd64.deb Checksums-Sha256: 15d1797d2f3546d6433f4d013e74b24bb6d688b5eed74784bf386944ff448496 82591428 verilator-dbgsym_4.038-1_amd64.deb 85a0c86ebae1eed023b8e74c3bed67d42f6eb73692046b7df68ca701fa61cea0 5853 verilator_4.038-1_amd64.buildinfo ba6bb28339f07b359f18c5614836a373f7dcd81feedb76d6992fa24ea6f9cdaa 4740548 verilator_4.038-1_amd64.deb Files: c9b12f77e8cd5e3d5a0489b64515cdf3 82591428 debug optional verilator-dbgsym_4.038-1_amd64.deb 355ff6cb29ab9337fde2ed1f07aeb48e 5853 electronics optional verilator_4.038-1_amd64.buildinfo 8ba32f40162434bf8dd89c34616adcda 4740548 electronics optional verilator_4.038-1_amd64.deb Sun Aug 6 22:34:18 UTC 2023 I: diffoscope 243 will be used to compare the two builds: # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/verilator_4.038-1.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/verilator_4.038-1.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/verilator_4.038-1.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/b1/verilator_4.038-1_amd64.changes /srv/reproducible-results/rbuild-debian/r-b-build.QO7v8RsS/b2/verilator_4.038-1_amd64.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 9.418s) 9.418s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 9.215s) 9.215s 12 calls diffoscope.comparators.binary.FilesystemFile 0.000s 10 calls abc.DotChangesFile ## specialize (total time: 0.000s) 0.000s 1 call specialize Sun Aug 6 22:35:39 UTC 2023 I: diffoscope 243 found no differences in the changes files, and a .buildinfo file also exists. Sun Aug 6 22:35:39 UTC 2023 I: verilator from bullseye built successfully and reproducibly on amd64. Sun Aug 6 22:35:46 UTC 2023 I: Submitting .buildinfo files to external archives: Sun Aug 6 22:35:47 UTC 2023 I: Submitting 8.0K b1/verilator_4.038-1_amd64.buildinfo.asc Sun Aug 6 22:35:50 UTC 2023 I: Submitting 8.0K b2/verilator_4.038-1_amd64.buildinfo.asc Sun Aug 6 22:35:51 UTC 2023 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Sun Aug 6 22:35:51 UTC 2023 I: Done submitting .buildinfo files. Sun Aug 6 22:35:51 UTC 2023 I: Removing signed verilator_4.038-1_amd64.buildinfo.asc files: removed './b1/verilator_4.038-1_amd64.buildinfo.asc' removed './b2/verilator_4.038-1_amd64.buildinfo.asc'