Wed May 8 01:27:42 UTC 2024 I: starting to build primer3/unstable/amd64 on jenkins on '2024-05-08 01:27' Wed May 8 01:27:42 UTC 2024 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/amd64_30/8084/console.log Wed May 8 01:27:42 UTC 2024 I: Downloading source for unstable/primer3=2.6.1-4 --2024-05-08 01:27:42-- http://deb.debian.org/debian/pool/main/p/primer3/primer3_2.6.1-4.dsc Connecting to 46.16.76.132:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 2243 (2.2K) [text/prs.lines.tag] Saving to: ‘primer3_2.6.1-4.dsc’ 0K .. 100% 210M=0s 2024-05-08 01:27:42 (210 MB/s) - ‘primer3_2.6.1-4.dsc’ saved [2243/2243] Wed May 8 01:27:42 UTC 2024 I: primer3_2.6.1-4.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: primer3 Binary: primer3, primer3-examples Architecture: any all Version: 2.6.1-4 Maintainer: Debian Med Packaging Team Uploaders: Steffen Moeller , Charles Plessy , Andreas Tille Homepage: http://primer3.sourceforge.net Standards-Version: 4.6.1 Vcs-Browser: https://salsa.debian.org/med-team/primer3 Vcs-Git: https://salsa.debian.org/med-team/primer3.git Testsuite: autopkgtest Testsuite-Triggers: valgrind Build-Depends: debhelper-compat (= 13) Package-List: primer3 deb science optional arch=any primer3-examples deb science optional arch=all Checksums-Sha1: bd421d0e74ab418bd3a0b56a304853984475b469 32858944 primer3_2.6.1.orig.tar.gz 13a0a5760bbcbf6b2c9d57821c2631894a553f5f 13788 primer3_2.6.1-4.debian.tar.xz Checksums-Sha256: 805cef7ef39607cd40f0f5bb8b32e35e20007153a0a55131dd430ce644c8fb9e 32858944 primer3_2.6.1.orig.tar.gz 4ccc6bbba549b21853870b28c9c6090d3b48bc30503d4f631e3b5f4286e8e3e2 13788 primer3_2.6.1-4.debian.tar.xz Files: d18c095694b586c300c88fab4b116c8c 32858944 primer3_2.6.1.orig.tar.gz 64c16c6ba8613a8e63e479ea2ce8fd95 13788 primer3_2.6.1-4.debian.tar.xz Dgit: e1add3819d33a38aff6b5b5b286a4052cc0fcd11 debian archive/debian/2.6.1-4 https://git.dgit.debian.org/primer3 -----BEGIN PGP SIGNATURE----- iQJIBAEBCgAyFiEEj5GyJ8fW8rGUjII2eTz2fo8NEdoFAmNxEUcUHGVtb2xsaWVy QGRlYmlhbi5vcmcACgkQeTz2fo8NEdr7XA/9Gt+kx6EacCA3w5ur+n4sQJlB88ph 9O6/QHrs2mH03lEn7NWWlK7n7bXlI61o6f4xnoWfK1YrK45eae9d+gS/enISNKuo h6lZ8+frcWeeLtwLxi54lJgRazlmL8UGAsr5eYyeWGe1LETzRQgM224LFLJsEqRy y7F3Gkzlo+Z9JdyTZKpWNaZ6ZabnuGOexKttqOm1CZ/Kikt1J9md3G8QnXmmTALB BHEY77gPXdTLgK4pbIyC+f1miJcav5hGttewwHix3E2fV2MJQxR2XfGSnE3DoSc2 2ACyVbJQ0PMCOa/SuyedBLlmJ9lirx9QgiVJIOEqogcIDWx65YIhWbNxBYSfHYvi Hop3FOUl5RDwjy6Zse48ULm3fPQELczBTYeaJ6VjNlGoEDWzCdz0XEGn7WfRjTkL G3DGMp4SmNZlMWLRH+Nm4EqZBuBZXqA1PPJ1X3zIx5aSIwrpyS/aHBG/xrzSLQ4y zfGGQca9rxvkyoMmxRAhvmtpin/y1c2po/lnYLC9jBHZOUn4QUhUKd6Fs07mE0RH fklXxg2PQAb9eiqIf/gwfDJk4iW8/LSsgWM35RVwrDS80lBfYmmHUH+gMI6cTo3f /X7uBxRPekVMoiVY4fUeT7VVNZpydeyNn7PsgaNHh4TECFgubcGDUgniRqk9+a2l ujh74vyei/rwJ2I= =RLJw -----END PGP SIGNATURE----- Wed May 8 01:27:42 UTC 2024 I: Checking whether the package is not for us Wed May 8 01:27:42 UTC 2024 I: Starting 1st build on remote node ionos15-amd64.debian.net. Wed May 8 01:27:42 UTC 2024 I: Preparing to do remote build '1' on ionos15-amd64.debian.net. Wed May 8 01:36:55 UTC 2024 I: Deleting $TMPDIR on ionos15-amd64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Mon Jun 9 19:50:44 -12 2025 I: pbuilder-time-stamp: 1749541844 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [primer3_2.6.1-4.dsc] I: copying [./primer3_2.6.1.orig.tar.gz] I: copying [./primer3_2.6.1-4.debian.tar.xz] I: Extracting source gpgv: Signature made Sun Nov 13 15:46:15 2022 gpgv: using RSA key 8F91B227C7D6F2B1948C8236793CF67E8F0D11DA gpgv: issuer "emollier@debian.org" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./primer3_2.6.1-4.dsc: no acceptable signature found dpkg-source: info: extracting primer3 in primer3-2.6.1 dpkg-source: info: unpacking primer3_2.6.1.orig.tar.gz dpkg-source: info: unpacking primer3_2.6.1-4.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying hardening.patch dpkg-source: info: applying primer_thermodynamic_parameters_path_2_etc.patch dpkg-source: info: applying set_prefix.patch dpkg-source: info: applying buildflags.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/944357/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build/reproducible-path' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='amd64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=42 ' DISTRIBUTION='unstable' HOME='/root' HOST_ARCH='amd64' IFS=' ' INVOCATION_ID='084afa677a8b446280afa801fde9e5dc' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='944357' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/pbuilderrc_5lnK --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/b1 --logfile b1/build.log primer3_2.6.1-4.dsc' SUDO_GID='111' SUDO_UID='106' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://213.165.73.152:3128' I: uname -a Linux ionos15-amd64 6.6.13+bpo-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.6.13-1~bpo12+1 (2024-02-15) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 Jun 8 14:05 /bin -> usr/bin I: user script /srv/workspace/pbuilder/944357/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13) dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} gettext{a} gettext-base{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libdebhelper-perl{a} libelf1t64{a} libfile-stripnondeterminism-perl{a} libicu72{a} libmagic-mgc{a} libmagic1t64{a} libpipeline1{a} libsub-override-perl{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} po-debconf{a} sensible-utils{a} The following packages are RECOMMENDED but will NOT be installed: curl libarchive-cpio-perl libltdl-dev libmail-sendmail-perl lynx wget 0 packages upgraded, 30 newly installed, 0 to remove and 0 not upgraded. Need to get 19.0 MB of archives. After unpacking 73.6 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian unstable/main amd64 sensible-utils all 0.0.22 [22.4 kB] Get: 2 http://deb.debian.org/debian unstable/main amd64 libmagic-mgc amd64 1:5.45-3 [314 kB] Get: 3 http://deb.debian.org/debian unstable/main amd64 libmagic1t64 amd64 1:5.45-3 [105 kB] Get: 4 http://deb.debian.org/debian unstable/main amd64 file amd64 1:5.45-3 [42.9 kB] Get: 5 http://deb.debian.org/debian unstable/main amd64 gettext-base amd64 0.21-14+b1 [161 kB] Get: 6 http://deb.debian.org/debian unstable/main amd64 libuchardet0 amd64 0.0.8-1+b1 [68.8 kB] Get: 7 http://deb.debian.org/debian unstable/main amd64 groff-base amd64 1.23.0-4 [1180 kB] Get: 8 http://deb.debian.org/debian unstable/main amd64 bsdextrautils amd64 2.40-8 [92.8 kB] Get: 9 http://deb.debian.org/debian unstable/main amd64 libpipeline1 amd64 1.5.7-2 [38.0 kB] Get: 10 http://deb.debian.org/debian unstable/main amd64 man-db amd64 2.12.1-1 [1411 kB] Get: 11 http://deb.debian.org/debian unstable/main amd64 m4 amd64 1.4.19-4 [287 kB] Get: 12 http://deb.debian.org/debian unstable/main amd64 autoconf all 2.71-3 [332 kB] Get: 13 http://deb.debian.org/debian unstable/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 14 http://deb.debian.org/debian unstable/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 15 http://deb.debian.org/debian unstable/main amd64 autopoint all 0.21-14 [496 kB] Get: 16 http://deb.debian.org/debian unstable/main amd64 libdebhelper-perl all 13.15.3 [88.0 kB] Get: 17 http://deb.debian.org/debian unstable/main amd64 libtool all 2.4.7-7 [517 kB] Get: 18 http://deb.debian.org/debian unstable/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 19 http://deb.debian.org/debian unstable/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 20 http://deb.debian.org/debian unstable/main amd64 libsub-override-perl all 0.10-1 [10.6 kB] Get: 21 http://deb.debian.org/debian unstable/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 22 http://deb.debian.org/debian unstable/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 23 http://deb.debian.org/debian unstable/main amd64 libelf1t64 amd64 0.191-1+b1 [189 kB] Get: 24 http://deb.debian.org/debian unstable/main amd64 dwz amd64 0.15-1+b1 [110 kB] Get: 25 http://deb.debian.org/debian unstable/main amd64 libicu72 amd64 72.1-4+b1 [9395 kB] Get: 26 http://deb.debian.org/debian unstable/main amd64 libxml2 amd64 2.9.14+dfsg-1.3+b3 [692 kB] Get: 27 http://deb.debian.org/debian unstable/main amd64 gettext amd64 0.21-14+b1 [1301 kB] Get: 28 http://deb.debian.org/debian unstable/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 29 http://deb.debian.org/debian unstable/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 30 http://deb.debian.org/debian unstable/main amd64 debhelper all 13.15.3 [901 kB] Fetched 19.0 MB in 0s (136 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package sensible-utils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../00-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../01-libmagic-mgc_1%3a5.45-3_amd64.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:amd64. Preparing to unpack .../02-libmagic1t64_1%3a5.45-3_amd64.deb ... Unpacking libmagic1t64:amd64 (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../03-file_1%3a5.45-3_amd64.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../04-gettext-base_0.21-14+b1_amd64.deb ... Unpacking gettext-base (0.21-14+b1) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../05-libuchardet0_0.0.8-1+b1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.8-1+b1) ... Selecting previously unselected package groff-base. Preparing to unpack .../06-groff-base_1.23.0-4_amd64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../07-bsdextrautils_2.40-8_amd64.deb ... Unpacking bsdextrautils (2.40-8) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../08-libpipeline1_1.5.7-2_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-2) ... Selecting previously unselected package man-db. Preparing to unpack .../09-man-db_2.12.1-1_amd64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package m4. Preparing to unpack .../10-m4_1.4.19-4_amd64.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../11-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../12-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../13-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../14-autopoint_0.21-14_all.deb ... Unpacking autopoint (0.21-14) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../15-libdebhelper-perl_13.15.3_all.deb ... Unpacking libdebhelper-perl (13.15.3) ... Selecting previously unselected package libtool. Preparing to unpack .../16-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../17-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../18-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../19-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../20-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../21-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:amd64. Preparing to unpack .../22-libelf1t64_0.191-1+b1_amd64.deb ... Unpacking libelf1t64:amd64 (0.191-1+b1) ... Selecting previously unselected package dwz. Preparing to unpack .../23-dwz_0.15-1+b1_amd64.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../24-libicu72_72.1-4+b1_amd64.deb ... Unpacking libicu72:amd64 (72.1-4+b1) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../25-libxml2_2.9.14+dfsg-1.3+b3_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../26-gettext_0.21-14+b1_amd64.deb ... Unpacking gettext (0.21-14+b1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../27-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../28-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../29-debhelper_13.15.3_all.deb ... Unpacking debhelper (13.15.3) ... Setting up libpipeline1:amd64 (1.5.7-2) ... Setting up libicu72:amd64 (72.1-4+b1) ... Setting up bsdextrautils (2.40-8) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.15.3) ... Setting up libmagic1t64:amd64 (1:5.45-3) ... Setting up gettext-base (0.21-14+b1) ... Setting up m4 (1.4.19-4) ... Setting up file (1:5.45-3) ... Setting up libelf1t64:amd64 (0.191-1+b1) ... Setting up autotools-dev (20220109.1) ... Setting up autopoint (0.21-14) ... Setting up autoconf (2.71-3) ... Setting up dwz (0.15-1+b1) ... Setting up sensible-utils (0.0.22) ... Setting up libuchardet0:amd64 (0.0.8-1+b1) ... Setting up libsub-override-perl (0.10-1) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up gettext (0.21-14+b1) ... Setting up libtool (2.4.7-7) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-4) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Setting up debhelper (13.15.3) ... Processing triggers for libc-bin (2.38-8) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/reproducible-path/primer3-2.6.1/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../primer3_2.6.1-4_source.changes dpkg-buildpackage: info: source package primer3 dpkg-buildpackage: info: source version 2.6.1-4 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Étienne Mollier dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 debian/rules clean dh clean --sourcedirectory=src dh_auto_clean -O--sourcedirectory=src cd src && make -j42 clean make[1]: Entering directory '/build/reproducible-path/primer3-2.6.1/src' no windows rm -f *.o primer3_core ntdpal ntthal amplicon3_core oligotm long_seq_tm_test primer3_masker *~ libprimer3.a libdpal.a libthal.a libthalpara.a liboligotm.a libamplicontm.a libmasker.a libprimer3.so.1.0.0 libdpal.a.so.1.0.0 libthal.a.so.1.0.0 libthalpara.a.so.1.0.0 libamplicontm.so.1.0.0 liboligotm.so.1.2.0 libmasker.a.so.1.0.0 example.for example.rev example.int core ../*~ cd ../test/; make clean make[2]: Entering directory '/build/reproducible-path/primer3-2.6.1/test' make[2]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. echo; echo; echo 'CLEAN UP TEST DIRECTORIES'; echo; echo; CLEAN UP TEST DIRECTORIES rm -rf primer_list_tmp/* rm -rf primer1_list_tmp/* rm -rf primer1_th_list_tmp/* rm -rf th-w-other-tasks_list_tmp/* rm -f primer_global_err/*.tmp primer_global_err/*.tmp2 primer_global_err/*.bak rm -f amplicon3/*.tmp amplicon3/*.jpg amplicon3/decipher* rm -f *.tmp *.bak *.tmp.err *~ rm -f hyb_probe_only.for hyb_probe_only.int rm -f right_only.for right_only.rev left_only.for rm -f pcr_primers_and_io.int pcr_primers_and_io.rev pcr_primers_and_io.for rm -f pcr_primers.rev pcr_primers.for rm -f pick_primer_list_and_file_flag_th_[1-4].for pick_primer_list_and_file_flag_th_[1-4].rev rm -f pick_primer_list_and_file_flag_th_[1-4].int rm -f syntax[0-1][0-9].for syntax[0-1][0-9].int syntax[0-1][0-9].rev rm -f oligotm.*.valg # valgrind output from oligotm_test.pl --valgrind rm -f ntdpal.*.valg # valgrind output from dpal_test.pl --valgrind rm -f ntthal.*.valg # valgrind output from thal_test.pl --valgrind rm -f masker.*.valg # valgrind output from thal_test.pl --valgrind rm -f oligotm.*.valg.* # valgrind output from oligotm_test.pl --valgrind rm -f ntdpal.*.valg.* # valgrind output from dpal_test.pl --valgrind rm -f ntthal.*.valg.* # valgrind output from thal_test.pl --valgrind rm -f masker.*.valg.* # valgrind output from masker_test.pl --valgrind rm -f *.vg */*.vg # valgrind output from p3test{,z}.pl --valgrind rm -f *.vg.core.* # core files from crashed valgrind test rm -f ./core # in case we crashed and left a core file make[2]: Leaving directory '/build/reproducible-path/primer3-2.6.1/test' make[1]: Leaving directory '/build/reproducible-path/primer3-2.6.1/src' dh_autoreconf_clean -O--sourcedirectory=src dh_clean -O--sourcedirectory=src debian/rules binary dh binary --sourcedirectory=src dh_update_autotools_config -O--sourcedirectory=src dh_autoreconf -O--sourcedirectory=src dh_auto_configure -O--sourcedirectory=src debian/rules override_dh_auto_build make[1]: Entering directory '/build/reproducible-path/primer3-2.6.1' dh_auto_build -- 'CPP=$(CXX)' cd src && make -j42 "INSTALL=install --strip-program=true" CPP=\$\(CXX\) make[2]: Entering directory '/build/reproducible-path/primer3-2.6.1/src' no windows cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g primer3_boulder_main.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o format_output.o format_output.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o read_boulder.o read_boulder.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o print_boulder.o print_boulder.c g++ -Wdate-time -D_FORTIFY_SOURCE=2 -c -g -O2 -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -std=c++11 -Wl,-z,relro -Wl,-z,now -g -o libprimer3.o libprimer3.cc cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o p3_seq_lib.o p3_seq_lib.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o dpal_primer.o dpal.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -ffloat-store -Wl,-z,relro -Wl,-z,now -g -o thal_primer.o thal.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -ffloat-store -Wl,-z,relro -Wl,-z,now -g -o thal_parameters.o thal_parameters.c cc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o oligotm.o oligotm.c cc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o amplicontm.o amplicontm.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g masker.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -g -Wall -Wl,-z,relro -Wl,-z,now -g -o ntdpal_main.o ntdpal_main.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o dpal.o dpal.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o thal_main.o thal_main.c cc -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -ffloat-store -Wl,-z,relro -Wl,-z,now -g -o thal.o thal.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ format_output.c: In function 'print_seq': format_output.c:418:61: warning: the comparison will always evaluate as 'true' for the address of 'rev' will never be NULL [-Waddress] 418 | if (pa->pick_right_primer && (&retval->rev) != NULL | ^~ In file included from format_output.h:41, from format_output.c:40: libprimer3.h:927:26: note: 'rev' declared here 927 | oligo_array fwd, intl, rev; | ^~~ format_output.c:429:60: warning: the comparison will always evaluate as 'true' for the address of 'fwd' will never be NULL [-Waddress] 429 | if (pa->pick_left_primer && (&retval->fwd) != NULL | ^~ libprimer3.h:927:15: note: 'fwd' declared here 927 | oligo_array fwd, intl, rev; | ^~~ format_output.c: In function 'format_oligos': format_output.c:851:51: warning: the comparison will always evaluate as 'true' for the address of 'fwd' will never be NULL [-Waddress] 851 | if ((pa->pick_left_primer) && ((&retval->fwd) != NULL ) | ^~ libprimer3.h:927:15: note: 'fwd' declared here 927 | oligo_array fwd, intl, rev; | ^~~ format_output.c:858:55: warning: the comparison will always evaluate as 'true' for the address of 'intl' will never be NULL [-Waddress] 858 | if ((pa->pick_internal_oligo) && ((&retval->intl) != NULL ) | ^~ libprimer3.h:927:20: note: 'intl' declared here 927 | oligo_array fwd, intl, rev; | ^~~~ format_output.c:865:52: warning: the comparison will always evaluate as 'true' for the address of 'rev' will never be NULL [-Waddress] 865 | if ((pa->pick_right_primer) && ((&retval->rev) != NULL ) | ^~ libprimer3.h:927:26: note: 'rev' declared here 927 | oligo_array fwd, intl, rev; | ^~~ format_output.c:888:49: warning: the comparison will always evaluate as 'true' for the address of 'fwd' will never be NULL [-Waddress] 888 | if ((pa->pick_left_primer) && ((&retval->fwd) != NULL ) | ^~ libprimer3.h:927:15: note: 'fwd' declared here 927 | oligo_array fwd, intl, rev; | ^~~ format_output.c:907:53: warning: the comparison will always evaluate as 'true' for the address of 'intl' will never be NULL [-Waddress] 907 | if ((pa->pick_internal_oligo) && ((&retval->intl) != NULL ) | ^~ libprimer3.h:927:20: note: 'intl' declared here 927 | oligo_array fwd, intl, rev; | ^~~~ format_output.c:926:50: warning: the comparison will always evaluate as 'true' for the address of 'rev' will never be NULL [-Waddress] 926 | if ((pa->pick_right_primer) && ((&retval->rev) != NULL ) | ^~ libprimer3.h:927:26: note: 'rev' declared here 927 | oligo_array fwd, intl, rev; | ^~~ ar rv libthalpara.a thal_parameters.o libprimer3.cc: In function 'char* strstr_nocase(char*, char*)': libprimer3.cc:6168:29: warning: pointer 'p' used after 'void free(void*)' [-Wuse-after-free] 6168 | else {free(tmp); return p;} | ^ libprimer3.cc:6168:15: note: call to 'void free(void*)' here 6168 | else {free(tmp); return p;} | ~~~~^~~~~ ar: creating libthalpara.a a - thal_parameters.o ranlib libthalpara.a ar rv libamplicontm.a amplicontm.o ar rv liboligotm.a oligotm.o cc -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o long_seq_tm_test long_seq_tm_test_main.c oligotm.o -lm ar: creating libamplicontm.a ar: creating liboligotm.a a - amplicontm.o a - oligotm.o ranlib libamplicontm.a ranlib liboligotm.a cc -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o amplicon3_core amplicontm_main.c libamplicontm.a -lm cc -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o oligotm oligotm_main.c liboligotm.a -lm In file included from /usr/include/string.h:548, from thal.c:43: In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'calc_hairpin' at thal.c:1922:19: /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' In file included from /usr/include/string.h:548, from thal.c:43: In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'calc_hairpin' at thal.c:1922:19: /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'calc_bulge_internal.isra' at thal.c:2000:19: In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'calc_bulge_internal.isra' at thal.c:2000:19: /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'maxTM' at thal.c:1542:19, inlined from 'fillMatrix' at thal.c:1473:16: /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' In function 'strcpy', inlined from 'safe_malloc' at thal.c:804:7, inlined from 'maxTM' at thal.c:1542:19, inlined from 'fillMatrix' at thal.c:1473:16: /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __builtin___strcpy_chk (__dest, __src, __glibc_objsize (__dest)); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/x86_64-linux-gnu/bits/string_fortified.h:79:10: note: in a call to built-in function '__builtin___memcpy_chk' ar rv libmasker.a masker.o cc -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o ntdpal ntdpal_main.o dpal.o ar: creating libmasker.a ar rv libdpal.a dpal_primer.o a - masker.o ranlib libmasker.a ar: creating libdpal.a a - dpal_primer.o ranlib libdpal.a ar rv libthal.a thal_primer.o cc -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -Wl,-z,relro -Wl,-z,now -g -o ntthal thal_main.o thal.o thal_parameters.o -lm ar: creating libthal.a a - thal_primer.o ranlib libthal.a ar rv libprimer3.a libprimer3.o p3_seq_lib.o ar: creating libprimer3.a a - libprimer3.o a - p3_seq_lib.o ranlib libprimer3.a g++ -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -std=c++11 -lstdc++ -Wl,-z,relro -Wl,-z,now -g -o primer3_core primer3_boulder_main.o format_output.o read_boulder.o print_boulder.o libprimer3.a libdpal.a libthal.a libthalpara.a liboligotm.a libamplicontm.a libmasker.a -lm g++ -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/primer3-2.6.1=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -g -Wall -O2 -std=c++11 -lstdc++ -Wl,-z,relro -Wl,-z,now -g -o primer3_masker masker_main.c libprimer3.a libdpal.a libthal.a libthalpara.a liboligotm.a libamplicontm.a libmasker.a -lm cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ make[2]: Leaving directory '/build/reproducible-path/primer3-2.6.1/src' make[1]: Leaving directory '/build/reproducible-path/primer3-2.6.1' debian/rules override_dh_auto_test make[1]: Entering directory '/build/reproducible-path/primer3-2.6.1' dh_auto_test --no-parallel --sourcedirectory=test cd test && make -j1 test make[2]: Entering directory '/build/reproducible-path/primer3-2.6.1/test' cd ../src; make make[3]: Entering directory '/build/reproducible-path/primer3-2.6.1/src' no windows make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/reproducible-path/primer3-2.6.1/src' perl cmdline_test.pl TESTING command line arguments Test 1... [OK] Test 2... [OK] Test 3... [OK] Test 4... [OK] Test 5... [OK] Passed all tests - [OK] perl oligotm_test.pl TESTING Tm CALCULATIONS Tm tests nr 1-50: [OK] echo; echo; echo 'TESTING ALIGNMENT CALCS'; echo; perl dpal_test.pl ; TESTING ALIGNMENT CALCS Error handling of too-long sequence...[OK] Default implementations + alignment...[OK] Default implementations + NO alignment 1...[OK] Default implementations + NO alignment 2...[OK] Force _dpal_generic...[OK] Force _dpal_long_nopath_generic 1...[OK] Force _dpal_long_nopath_generic 2...[OK] Force long maxgap1 functions 1...[OK] Force long maxgap1 functions 2...[OK] echo; echo; echo 'TESTING THERMODYNAMIC ALIGNMENT CALCS'; echo; perl thal_test.pl ; TESTING THERMODYNAMIC ALIGNMENT CALCS Error handling of too-long sequence... 1................................... [OK] Default implementations + alignment...[OK] Default implementations + NO alignment 1...[OK] Default implementations + NO alignment 2...[OK] Default implementations + alignment (interactive)...[OK] Default implementations + NO alignment 1 (interactive)...[OK] Default implementations + NO alignment 2 (interactive)...[OK] Default implementations + alignment (interactive batch inputs)...[OK] Default implementations + alignment + HAIRPIN (interactive batch inputs)...[OK] echo; echo; echo 'TESTING MASKER'; echo; perl masker_test.pl ; TESTING MASKER Default implementations...[OK] echo; echo; echo 'TESTING amplicon3_core'; echo; perl a3test.pl TESTING amplicon3_core a3test.pl for amplicon3_core version 2.5.0 a3test.pl: testing ../src/amplicon3_core START, Tue Jun 10 07:51:41 2025 plain_WNT9B...0s [OK] plain_TBX18...0s [OK] plain_RYK...0s [OK] plain_WNT2...0s [OK] plain_ROCK2...0s [OK] plain_SFRP3...0s [OK] plain_IFT20...0s [OK] plain_DVL1...0s [OK] plain_IFT88...0s [OK] plain_FZD2...0s [OK] plain_GAPDH...0s [OK] plain_WNT1...0s [OK] plain_MYH6...0s [OK] plain_on_command_line_WNT9B...0s [OK] plain_on_command_line_TBX18...0s [OK] plain_on_command_line_RYK...0s [OK] plain_on_command_line_WNT2...0s [OK] plain_on_command_line_ROCK2...0s [OK] plain_on_command_line_SFRP3...0s [OK] plain_on_command_line_IFT20...0s [OK] plain_on_command_line_DVL1...0s [OK] plain_on_command_line_IFT88...0s [OK] plain_on_command_line_FZD2...0s [OK] plain_on_command_line_GAPDH...0s [OK] plain_on_command_line_WNT1...0s [OK] plain_on_command_line_MYH6...0s [OK] classic_WNT9B...0s [OK] classic_TBX18...0s [OK] classic_RYK...0s [OK] classic_WNT2...0s [OK] classic_ROCK2...0s [OK] classic_SFRP3...0s [OK] classic_IFT20...0s [OK] classic_DVL1...0s [OK] classic_IFT88...0s [OK] classic_FZD2...0s [OK] classic_GAPDH...0s [OK] classic_WNT1...0s [OK] classic_MYH6...0s [OK] heli_WNT9B...0s [OK] heli_TBX18...0s [OK] heli_RYK...0s [OK] heli_WNT2...0s [OK] heli_ROCK2...0s [OK] heli_SFRP3...0s [OK] heli_IFT20...0s [OK] heli_DVL1...0s [OK] heli_IFT88...0s [OK] heli_FZD2...0s [OK] heli_GAPDH...0s [OK] heli_WNT1...0s [OK] heli_MYH6...0s [OK] long_WNT9B...0s [OK] long_TBX18...0s [OK] long_RYK...0s [OK] long_WNT2...0s [OK] long_ROCK2...0s [OK] long_SFRP3...0s [OK] long_IFT20...0s [OK] long_DVL1...0s [OK] long_IFT88...0s [OK] long_FZD2...0s [OK] long_GAPDH...0s [OK] long_WNT1...0s [OK] long_MYH6...0s [OK] optimal_WNT9B...0s [OK] optimal_TBX18...0s [OK] optimal_RYK...0s [OK] optimal_WNT2...0s [OK] optimal_ROCK2...0s [OK] optimal_SFRP3...0s [OK] optimal_IFT20...0s [OK] optimal_DVL1...0s [OK] optimal_IFT88...0s [OK] optimal_FZD2...0s [OK] optimal_GAPDH...0s [OK] optimal_WNT1...0s [OK] optimal_MYH6...0s [OK] only_mv_WNT9B...0s [OK] only_mv_TBX18...0s [OK] only_mv_RYK...0s [OK] only_mv_WNT2...0s [OK] only_mv_ROCK2...0s [OK] only_mv_SFRP3...0s [OK] only_mv_IFT20...0s [OK] only_mv_DVL1...0s [OK] only_mv_IFT88...0s [OK] only_mv_FZD2...0s [OK] only_mv_GAPDH...0s [OK] only_mv_WNT1...0s [OK] only_mv_MYH6...0s [OK] no_dmso_WNT9B...0s [OK] no_dmso_TBX18...0s [OK] no_dmso_RYK...0s [OK] no_dmso_WNT2...0s [OK] no_dmso_ROCK2...0s [OK] no_dmso_SFRP3...0s [OK] no_dmso_IFT20...0s [OK] no_dmso_DVL1...0s [OK] no_dmso_IFT88...0s [OK] no_dmso_FZD2...0s [OK] no_dmso_GAPDH...0s [OK] no_dmso_WNT1...0s [OK] no_dmso_MYH6...0s [OK] Tests in a3test.pl ran for 0 s (0 min) DONE Tue Jun 10 07:51:41 2025 Passed all tests - [OK] echo; echo; echo 'TESTING primer3_core'; echo; perl p3test.pl TESTING primer3_core mkdir: ../test/primer_list_tmp mkdir: ../test/primer1_list_tmp mkdir: ../test/primer1_th_list_tmp mkdir: ../test/th-w-other-tasks_list_tmp p3test.pl for primer3_core version 2.6.1 p3test.pl: testing ../src/primer3_core START, Tue Jun 10 07:51:41 2025 testing fatal errors... ./primer_global_err/annealing_temp_salt Testing --output and --error flags on ../src/primer3_core --strict_tags ./primer_global_err/annealing_temp_salt.in --output ./primer_global_err/annealing_temp_salt.tmp --error ./primer_global_err/annealing_temp_salt.tmp2 ./primer_global_err/bad_divalent_dntp_conc_1 ./primer_global_err/bad_divalent_dntp_conc_2 ./primer_global_err/bad_divalent_dntp_conc_3 ./primer_global_err/bad_divalent_dntp_conc_4 ./primer_global_err/bad_dna_conc ./primer_global_err/bad_first_base_index ./primer_global_err/bad_float_1 ./primer_global_err/bad_float_2 ./primer_global_err/bad_float_3 ./primer_global_err/bad_float_4 ./primer_global_err/bad_gc_1 ./primer_global_err/bad_gc_2 ./primer_global_err/bad_gc_3 ./primer_global_err/bad_gc_int_1 ./primer_global_err/bad_gc_int_2 ./primer_global_err/bad_gc_int_3 ./primer_global_err/bad_min_three_prime_distance ./primer_global_err/bad_num_ns ./primer_global_err/bad_num_ns_int ./primer_global_err/bad_product_sizes_1 ./primer_global_err/bad_product_sizes_2 ./primer_global_err/bad_product_sizes_3 ./primer_global_err/bad_product_sizes_4 ./primer_global_err/bad_salt_conc ./primer_global_err/bad_self_any ./primer_global_err/bad_self_any_int ./primer_global_err/bad_self_end ./primer_global_err/bad_self_end_int ./primer_global_err/bad_settings0 ./primer_global_err/bad_settings1 ./primer_global_err/bad_settings2 ./primer_global_err/bad_settings3 ./primer_global_err/bad_settings4 ./primer_global_err/bad_settings5 ./primer_global_err/bad_settings6 ./primer_global_err/empty_1 ./primer_global_err/empty_product_size ./primer_global_err/gc_clamp_min_size ./primer_global_err/incorrect_task ./primer_global_err/io_gc_content_obj_fn ./primer_global_err/io_obj_fn ./primer_global_err/junction_overlap_max_size ./primer_global_err/junction_overlap_min_size ./primer_global_err/max_annealing_temp ./primer_global_err/max_min_bound ./primer_global_err/max_min_bound_int ./primer_global_err/max_min_tm ./primer_global_err/max_min_tm_int ./primer_global_err/max_opt_bound ./primer_global_err/max_opt_bound_int ./primer_global_err/max_opt_tm ./primer_global_err/max_opt_tm_int ./primer_global_err/MAX_PRIMER_LENGTH ./primer_global_err/max_size_limit_int ./primer_global_err/max_size_limit_with_overhang ./primer_global_err/max_size_min_product ./primer_global_err/max_size_min_product_int ./primer_global_err/min_opt_tm ./primer_global_err/min_opt_tm_int ./primer_global_err/mishyb_obj_fn ./primer_global_err/mispr_obj_fn ./primer_global_err/must_match_length_0 ./primer_global_err/must_match_length_1 ./primer_global_err/must_match_length_10 ./primer_global_err/must_match_length_2 ./primer_global_err/must_match_length_3 ./primer_global_err/must_match_length_4 ./primer_global_err/must_match_length_5 ./primer_global_err/must_match_length_6 ./primer_global_err/must_match_length_7 ./primer_global_err/must_match_length_8 ./primer_global_err/must_match_length_9 ./primer_global_err/no_equal ./primer_global_err/no_terminal_equal ./primer_global_err/opt_max_size ./primer_global_err/opt_max_size_int ./primer_global_err/opt_min_size ./primer_global_err/opt_min_size_int ./primer_global_err/pair_mispr ./primer_global_err/pr_gc_content_obj_fn ./primer_global_err/product_size_obj_fn ./primer_global_err/product_tm_obj_fn ./primer_global_err/repeat_lib_err ./primer_global_err/repeat_lib_err1 ./primer_global_err/repeat_lib_err2 ./primer_global_err/repeat_lib_err3 ./primer_global_err/repeat_lib_err4 ./primer_global_err/repeat_lib_err5 ./primer_global_err/repeat_lib_err6 ./primer_global_err/repeat_lib_int_err ./primer_global_err/repeat_lib_int_err1 ./primer_global_err/repeat_lib_int_err2 ./primer_global_err/repeat_lib_int_err3 ./primer_global_err/repeat_lib_int_err4 ./primer_global_err/repeat_lib_int_err5 ./primer_global_err/repeat_lib_int_err6 ./primer_global_err/seq_quality1 ./primer_global_err/seq_quality2 ./primer_global_err/seq_quality3 ./primer_global_err/seq_quality4 ./primer_global_err/too_many_product_sizes ./primer_global_err/zero_min_size ./primer_global_err/zero_num_return [OK] primer_must_use_th...0s [OK] primer_sec_struct_dpal...0s [OK] primer_task_th...0s [OK] primer_thal_args...1s [OK] primer_thal_max_seq_error...0s [OK] primer_first_base_index...0s [OK] primer_must_match...0s [OK] test_compl_error...0s [OK] test_left_to_right_of_right...0s [OK] dv_conc_vs_dntp_conc...0s [OK] primer_boundary...0s [OK] primer_boundary1...0s [OK] primer_boundary_formatted...0s [OK] primer_boundary1_formatted...0s [OK] primer3_v1_1_4_default_settings...0s [OK] primer3web_v0_4_0_default_settings...0s [OK] primer3web_v3_0_0_default_settings...1s [OK] primer3web_v4_0_0_default_settings...0s [OK] primer_internal...0s [OK] primer_internal1...0s [OK] primer_internal_formatted...0s [OK] primer_internal1_formatted...0s [OK] primer_ok_regions...0s [OK] primer_ok_regions_formatted...0s [OK] primer_ok_regions2...7s [OK] primer_tm_lc_masking...0s [OK] primer_tm_lc_masking_formatted...0s [OK] primer_start_codon...0s [OK] primer_task...0s [OK] primer_task_formatted...0s [OK] primer_renewed_tasks...0s [OK] primer_dmso_formamide...0s [OK] primer_new_tasks...0s [OK] primer_new_tasks_formatted...0s [OK] primer_internal_position...1s [OK] primer_annealing_temp...0s [OK] primer_windows_newlines...0s [OK] primer_must_overlap_point...1s [OK] primer_overlap_junction...0s [OK] primer_all_settingsfiles...0s [OK] primer_high_tm_load_set...0s [OK] primer_high_gc_load_set...0s [OK] primer_gc_end...0s [OK] primer_check...0s [OK] primer_must_use...0s [OK] primer_must_use_formatted...0s [OK] primer_syntax...0s [OK] primer_end_pathology...0s [OK] primer_num_best...0s [OK] primer_quality_boundary...0s [OK] primer...1s [OK] primer_list_files...[OK] primer1...0s [OK] primer1_list_files...[OK] primer_mispriming...0s [OK] primer_mispriming_formatted...1s [OK] primer_mispriming_boundary1...0s [OK] primer_mispriming_boundary1_formatted...0s [OK] primer_mispriming_boundary2...0s [OK] primer_mispriming_boundary2_formatted...0s [OK] primer_mispriming_long_lib...0s [OK] primer_rat...0s [OK] primer_human...1s [OK] long_seq...0s [OK] primer_position_penalty...1s [OK] primer_position_penalty_formatted...0s [OK] p3-tmpl-mispriming...0s [OK] primer_poly_x...0s [OK] primer_three_prime_distance...0s [OK] primer_obj_fn...2s [OK] p3_3_prime_n...0s [OK] primer_masker...2s [OK] primer_masker_formatted...2s [OK] primer_overhang...0s [OK] primer_overhang_th...10s [OK] primer_mispriming_th...8s [OK] th-w-other-tasks...12s [OK] th-w-other-tasks_list_files...[OK] primer_new_tasks_th...14s [OK] primer_sec_struct_thal...13s [OK] primer_thermod_align...32s [OK] primer_thermod_align_formatted...33s [OK] primer1_th...78s [OK] primer1_th_list_files...[OK] primer_lib_amb_codes... NOTE: this test takes _much_ longer than the others (5 to 20 minutes or more). starting primer_lib_amb_codes at Tue Jun 10 07:55:23 2025...161s [OK] Tests in p3test.pl ran for 383 s (6.38333333333333 min) DONE Tue Jun 10 07:58:04 2025 Passed all tests - [OK] echo; echo; echo "Testing completed. You must check the test output for the string FAILED"; echo; echo; Testing completed. You must check the test output for the string FAILED make[2]: Leaving directory '/build/reproducible-path/primer3-2.6.1/test' dh clean --sourcedirectory=test dh_auto_clean -O--sourcedirectory=test cd test && make -j42 clean make[2]: Entering directory '/build/reproducible-path/primer3-2.6.1/test' echo; echo; echo 'CLEAN UP TEST DIRECTORIES'; echo; echo; CLEAN UP TEST DIRECTORIES rm -rf primer_list_tmp/* rm -rf primer1_list_tmp/* rm -rf primer1_th_list_tmp/* rm -rf th-w-other-tasks_list_tmp/* rm -f primer_global_err/*.tmp primer_global_err/*.tmp2 primer_global_err/*.bak rm -f amplicon3/*.tmp amplicon3/*.jpg amplicon3/decipher* rm -f *.tmp *.bak *.tmp.err *~ rm -f hyb_probe_only.for hyb_probe_only.int rm -f right_only.for right_only.rev left_only.for rm -f pcr_primers_and_io.int pcr_primers_and_io.rev pcr_primers_and_io.for rm -f pcr_primers.rev pcr_primers.for rm -f pick_primer_list_and_file_flag_th_[1-4].for pick_primer_list_and_file_flag_th_[1-4].rev rm -f pick_primer_list_and_file_flag_th_[1-4].int rm -f syntax[0-1][0-9].for syntax[0-1][0-9].int syntax[0-1][0-9].rev rm -f oligotm.*.valg # valgrind output from oligotm_test.pl --valgrind rm -f ntdpal.*.valg # valgrind output from dpal_test.pl --valgrind rm -f ntthal.*.valg # valgrind output from thal_test.pl --valgrind rm -f masker.*.valg # valgrind output from thal_test.pl --valgrind rm -f oligotm.*.valg.* # valgrind output from oligotm_test.pl --valgrind rm -f ntdpal.*.valg.* # valgrind output from dpal_test.pl --valgrind rm -f ntthal.*.valg.* # valgrind output from thal_test.pl --valgrind rm -f masker.*.valg.* # valgrind output from masker_test.pl --valgrind rm -f *.vg */*.vg # valgrind output from p3test{,z}.pl --valgrind rm -f *.vg.core.* # core files from crashed valgrind test rm -f ./core # in case we crashed and left a core file make[2]: Leaving directory '/build/reproducible-path/primer3-2.6.1/test' dh_autoreconf_clean -O--sourcedirectory=test dh_clean -O--sourcedirectory=test make[1]: Leaving directory '/build/reproducible-path/primer3-2.6.1' create-stamp debian/debhelper-build-stamp dh_testroot -O--sourcedirectory=src dh_prep -O--sourcedirectory=src debian/rules override_dh_auto_install make[1]: Entering directory '/build/reproducible-path/primer3-2.6.1' dh_auto_install -- PREFIX=debian/primer3/usr cd src && make -j42 install DESTDIR=/build/reproducible-path/primer3-2.6.1/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" PREFIX=debian/primer3/usr make[2]: Entering directory '/build/reproducible-path/primer3-2.6.1/src' no windows install -d "debian/primer3/usr/bin" install -m 755 primer3_core ntdpal ntthal amplicon3_core oligotm long_seq_tm_test primer3_masker "debian/primer3/usr/bin" make[2]: Leaving directory '/build/reproducible-path/primer3-2.6.1/src' make[1]: Leaving directory '/build/reproducible-path/primer3-2.6.1' dh_install -O--sourcedirectory=src dh_installdocs -O--sourcedirectory=src dh_installchangelogs -O--sourcedirectory=src debian/rules override_dh_installexamples make[1]: Entering directory '/build/reproducible-path/primer3-2.6.1' dh_installexamples mkdir -p /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test; mkdir -p /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/src; mkdir -p /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/settings_files; mkdir -p /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/kmer_lists; cp -aR ./test/* /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test; cp -aR ./src/humrep_and_simple.txt /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/src; cp -a settings_files/primer*.txt /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/settings_files; cp -aR ./kmer_lists/*.list /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/kmer_lists; chmod -x /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test/thal* chmod -x /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test/amplicon3/amplicons.csv chmod -x /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test/primer_windows_newlines_input sed -i 's#/usr/local/bin/perl#/usr/bin/perl#' /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test/long_seq_tm_test.pl ; sed -i 's#/usr/local/bin/perl#/usr/bin/perl#' /build/reproducible-path/primer3-2.6.1/debian/primer3-examples/usr/share/doc/primer3/examples/test/vgrep.pl ; make[1]: Leaving directory '/build/reproducible-path/primer3-2.6.1' dh_installman -O--sourcedirectory=src dh_installsystemduser -O--sourcedirectory=src dh_lintian -O--sourcedirectory=src dh_perl -O--sourcedirectory=src dh_link -O--sourcedirectory=src dh_strip_nondeterminism -O--sourcedirectory=src dh_compress -O--sourcedirectory=src dh_fixperms -O--sourcedirectory=src dh_missing -O--sourcedirectory=src dh_dwz -a -O--sourcedirectory=src dh_strip -a -O--sourcedirectory=src dh_makeshlibs -a -O--sourcedirectory=src dh_shlibdeps -a -O--sourcedirectory=src dh_installdeb -O--sourcedirectory=src dh_gencontrol -O--sourcedirectory=src dh_md5sums -O--sourcedirectory=src dh_builddeb -O--sourcedirectory=src dpkg-deb: building package 'primer3' in '../primer3_2.6.1-4_amd64.deb'. dpkg-deb: building package 'primer3-dbgsym' in '../primer3-dbgsym_2.6.1-4_amd64.deb'. dpkg-deb: building package 'primer3-examples' in '../primer3-examples_2.6.1-4_all.deb'. dpkg-genbuildinfo --build=binary -O../primer3_2.6.1-4_amd64.buildinfo dpkg-genchanges --build=binary -O../primer3_2.6.1-4_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/944357 and its subdirectories I: Current time: Mon Jun 9 19:59:54 -12 2025 I: pbuilder-time-stamp: 1749542394 Wed May 8 01:36:56 UTC 2024 I: 1st build successful. Starting 2nd build on remote node ionos1-amd64.debian.net. Wed May 8 01:36:56 UTC 2024 I: Preparing to do remote build '2' on ionos1-amd64.debian.net. Wed May 8 01:54:28 UTC 2024 I: Deleting $TMPDIR on ionos1-amd64.debian.net. Wed May 8 01:54:28 UTC 2024 I: primer3_2.6.1-4_amd64.changes: Format: 1.8 Date: Sun, 13 Nov 2022 11:49:48 +0100 Source: primer3 Binary: primer3 primer3-dbgsym primer3-examples Architecture: amd64 all Version: 2.6.1-4 Distribution: unstable Urgency: medium Maintainer: Debian Med Packaging Team Changed-By: Étienne Mollier Description: primer3 - tool to design flanking oligo nucleotides for DNA amplification primer3-examples - tool to design flanking oligo nucleotides for DNA amplification ( Closes: 1023823 Changes: primer3 (2.6.1-4) unstable; urgency=medium . * Team upload. . [ Lukas Märdian ] * d/t/run-unit-test: fix autopkgtest sed commands on s390x. (Closes: #1023823) Checksums-Sha1: f6aff567ae04d19fb5931e95b28b3ccc066daff9 431276 primer3-dbgsym_2.6.1-4_amd64.deb df8edaf64af395a56512ca7d375dc0a30465d246 31644144 primer3-examples_2.6.1-4_all.deb 5b452ccee47807a26c49af91de9f02074118fbb5 5418 primer3_2.6.1-4_amd64.buildinfo f488e5be1632c6cdaae41cde9afa68214a8d8a83 202372 primer3_2.6.1-4_amd64.deb Checksums-Sha256: 19fa59b6c085442d27ee78d07d6db2fb2e29a83fc66a342d957d6974f8d8c91d 431276 primer3-dbgsym_2.6.1-4_amd64.deb 1570823d07b9e4b19652d3f32cf60120531e272bfcd204b2cb36a41696999035 31644144 primer3-examples_2.6.1-4_all.deb 30fdcdefa6586f8e904acd771db1a3fdc7208aa9e80f37d3076e5832b6fdf8d9 5418 primer3_2.6.1-4_amd64.buildinfo 7d51cf0f549e96ea01a8e8c5dd15fa85831d88b3d4551a69abfea8550699009a 202372 primer3_2.6.1-4_amd64.deb Files: ff72824df59a7f0e81849996904c7a81 431276 debug optional primer3-dbgsym_2.6.1-4_amd64.deb 1d8dae15f3ebdbff2d1ae505ce7d7fef 31644144 science optional primer3-examples_2.6.1-4_all.deb d4ca2c147ed7c51fe30a68320913c5e3 5418 science optional primer3_2.6.1-4_amd64.buildinfo 1ae8b323563e3f3cd1913507c9a586b8 202372 science optional primer3_2.6.1-4_amd64.deb Wed May 8 01:54:30 UTC 2024 I: diffoscope 265 will be used to compare the two builds: Running as unit: rb-diffoscope-amd64_30-8084.service # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/primer3_2.6.1-4.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/primer3_2.6.1-4.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/primer3_2.6.1-4.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/b1/primer3_2.6.1-4_amd64.changes /srv/reproducible-results/rbuild-debian/r-b-build.OmbXBhgw/b2/primer3_2.6.1-4_amd64.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 0.717s) 0.717s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.352s) 0.352s 12 calls diffoscope.comparators.binary.FilesystemFile ## specialize (total time: 0.000s) 0.000s 1 call specialize Finished with result: success Main processes terminated with: code=exited/status=0 Service runtime: 1.067s CPU time consumed: 1.067s Wed May 8 01:54:31 UTC 2024 I: diffoscope 265 found no differences in the changes files, and a .buildinfo file also exists. Wed May 8 01:54:31 UTC 2024 I: primer3 from unstable built successfully and reproducibly on amd64. Wed May 8 01:54:33 UTC 2024 I: Submitting .buildinfo files to external archives: Wed May 8 01:54:33 UTC 2024 I: Submitting 8.0K b1/primer3_2.6.1-4_amd64.buildinfo.asc Wed May 8 01:54:34 UTC 2024 I: Submitting 8.0K b2/primer3_2.6.1-4_amd64.buildinfo.asc Wed May 8 01:54:34 UTC 2024 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Wed May 8 01:54:34 UTC 2024 I: Done submitting .buildinfo files. Wed May 8 01:54:34 UTC 2024 I: Removing signed primer3_2.6.1-4_amd64.buildinfo.asc files: removed './b1/primer3_2.6.1-4_amd64.buildinfo.asc' removed './b2/primer3_2.6.1-4_amd64.buildinfo.asc'